eda技术课程设计题目

2022-10-16 版权声明 我要投稿

第1篇:eda技术课程设计题目

EDA在数字电子技术课程设计中的应用

【摘要】本文分析了传统的数字电子技术课程设计的不足,阐述了在课程设计中引入EDA技术的必要性和优越性。

【关键词】课程设计 数字电子技术 EDA 实验平台

【基金项目】中央高校基本科研业务费专项资金资助(编号:16CX02035A),中国石油大学青年教师教学改革项目(编号:QN201413),中国石油大学教学实验技术改革项目(编号:SY-B201402)。

进入21世纪以来,随着微电子技术、电子技术和计算机技术的飞速发展,数字电子技术及其应用向着更为深入、更为广泛的层次扩展。电子产品的设计周期和上市时间日益缩短,电子产品的功能更加丰富,性能更加优良,由此推动了电子系统设计技术向电子设计自动化EDA方向发展,并且对EDA技术及其应用提出了更高的要求。

1.课程设计的背景

中国石油大学(华东)的“电工电子学”课程是“国家级精品课程”,以着重培养学生的系统观念、工程观念、科技创新等基本素质为教学方针。多年来在教学和科研中紧跟电子技术发展的每一个关键时刻,教学组的教师都适时地对内容体系和教材进行更新和完善,坚持不断进行课程改革,取得了丰硕的成果。“数字电子技术课程设计”是为大二学生暑期开设的一门必修课程,它是“数字电子技术基础”和“电子技术实验”等课程的后续课程,主要以培养学生的实践能力和创新精神为目标,加深学生对理论知识的理解,切实提高动手和解决问题的能力。

2.课程设计的选题

针对电子专业的特点,我们在数字电子技术课程设计部分采用了“基于复杂可编程逻辑器件(CPLD)实现电阻、电感、电容的测量”这一题目。测量工作原理是将被测量转换成频率,由CPLD实现频率的计算,并转换成被测量信号后输出显示。电阻、电感、电容经过转化电路,完成电阻/频率(R/ F)转换,电容/频率(C/ F)转换,电感/频率(L/ F)转换。用CPLD测量其频率,具体功能分块包括:多路选择开关、分频器、时间闸门计数器、测量计数器等。

频率测量的主要部件是一个带门控计数端的计数器(测量计数器),被测信号(被测频率)由此计数器计数。如果门控计数器的开门计数时间恰好为1秒,则测量计数器的计数值就是输入信号的频率。若改变开门计数时间,即可改变频率测量的量程。如开门时间为0.1秒,则量程为×10,开门时间为0.01秒,量程为×100,开门时间为0.001秒,量程为×1000。由计数器的数值即可换算电阻、电感、电容的大小。

本课题要求设计一个测量电阻、电感、电容的4位十进制数字显示的数显仪表,根据频率计的测频原理,由测频量程需要,选择合适的时基信号即闸门时间,对输入被测信号进行计数,实现测量的目的。其数显测量范围为0-99990Hz,满刻度量程分为9999、99990两档,手动转换量程,当输入计数值大于实际量程时有溢出指示。

3.课程设计的实现

(1)按照现代数字系统的Top-Down模块化设计方法,提出数字频率计的整体设计方案,并进行正确的功能划分,分别提出并实现控制器、受控器模块化子系统的设计方案。

(2)针对ispLEVER的EDA设计环境,采用Verilog HDL语言,完成受控器模块(测量计数器)的设计,并采用Abel语言编程进行仿真。

(3)在ispLEVER的EDA设计环境中,完成基于Verilog语言实现的控制器模块(闸门计数器,量程开关,选择开关)的设计,并采用Abel语言进行仿真。

(4)基于ispLEVER的EDA设计环境,采用Verilog HDL语言或原理图,完成顶层模块的设计并采用Abel语言编写测试向量文件进行仿真。

4.结束语

通过课程设计的锻炼,学生可以增强综合分析问题及解决问题的能力,激发学习兴趣和潜在的能动性。有学生在总结报告中写道:“通过这次课程设计,我切身体会到给出一个命题,利用Verilog语言编程实现这个命题,并利用软件模拟仿真,看功能是否得以实现的全过程。一方面学到了许多新知识,另一方面使我们对数字电子设计的全过程有了一个全面的了解,同时也深刻感受到利用EDA软件实现电子设计的强大优势。这样的课程设计很适合我们,使我们受益匪浅”。

参考文献

[1]王君红, 刘复玉, 任旭虎. “电工电子学”实验教学模式改革[J]. 实验科学与技术, 2012, 10(5): 76-78.

[2]于云华. 数字电子技术基础[M]. 东营: 中国石油大学出版社, 2008: 392-399.

作者简介:

张勇(1979-),男,山东东营人,博士,副教授,研究方向为网络控制系统的分析与综合、电工电子学基础课程教学。

作者:张勇 张冬至

第2篇:EDA技术在数字电路课程设计教学改革中的探索与实践

[摘 要]数字电路是电子信息类专业的基础课程,其中数字电路课程设计是该课程的重要实践教学环节,具有理论性与工程实践性强的特点。为了改进数字电路课程设计的教学,开展了将现代EDA技术应用于数字电路课程设计的教学改革。可以将数字电路课程设计分成两个阶段进行:基于Multisim的仿真设计、基于FPGA的EDA设计,使学生既掌握扎实的数字电路理论基础,又学习到现代数字系统的EDA设计技术,加强了学生工程实践能力的培养,取得了良好的教学效果。

[关键词]数字电路;教学改革;EDA技术;FPGA;Multisim

[

一、引言

数字电路是电子信息类专业的基础课程,其中数字电路课程设计是该课程的重要实践教学环节,具有理论性与工程实践性强的特点。数字电路课程设计不但要求学生掌握实用数字电路的基本原理和设计方法,更重要的是培养学生对数字电路的分析、设计及实际应用的工程能力,培养学生在数字电路领域的设计方法、设计手段、分析经验、故障排查等综合技能,以及跟踪学习现代EDA设计技术。

传统的数字电路课程设计教学是基于固定功能的标准74系列芯片,要求学生综合运用数字电路课程中所学理论知识,独立思考、分析、设计、组装,“自下而上”地构造具有一定功能的小规模数字系统。这种设计方法有利于学生对数字电路基础理论知识的理解与应用,但是设计缺乏灵活性,教学内容多年不变,设计题目单一,设计思路僵化,学生的设计方案雷同,功能难以进行扩展。这种设计方法需要的芯片品种多、数量大,电路设计复杂,设计效率低;电路可靠性比较差,电路连线复杂,查错、纠错比较困难,学生感到枯燥、乏味、缺乏创新。传统的设计方法在一定程度上制约了学生个性和创新思维的发展,缺乏对学生工程实践和创新能力的培养,课程设计的教学目标不能很好地实现,因此必须进行改革。

针对数字电路传统设计方法中的问题,西安邮电大学数字电路课程教学组采用现代EDA设计技术,兼顾数字电路设计方法的传统性与先进性,进行了“基于Multisim的仿真设计”、“基于FPGA的EDA设计”的教学改革。改革将数字电路课程设计分成两个阶段进行,传统设计与Multisim仿真设计相结合,强化数字电路理论基础,突破标准74系列芯片种类与数量的限制;并在QuartusII设计软件进行FPGA设计,强化现代数字系统的设计方法,通过仿真与实物制作的比较分析,促进学生更好地了解工程设计实际所面临的问题,有效地进行工程素养培养。这种教学改革具有实际教学可行性、教学内容连贯性、设计方法实用性的特点,教学效果良好。

二、基于Multisim的仿真设计

Multisim是美国NI公司推出的以Windows为平台的虚拟仿真平台。它可以对模拟、数字和模拟/数字混合电路进行设计和仿真。它的特点是界面直观、元器件库丰富、虚拟仪器仪表齐全、元件放置及连线简便、电路分析功能及作图功能强大、电路图的创建、测试分析和仿真结果一体化。

数字电路课程设计首先基于Multisim进行仿真设计。教师规定各个设计课题的具体要求,分析一些涉及各个设计课题的关键知识点。学生可以进行自由选题,在理解设计课题的基础上,构建设计思路,使用Multisim进行仿真设计。在Multisim仿真设计阶段,提供基础性与扩展性相结合、仿真性与工程性相结合的设计课题,强化数字电路基础理论知识,注重学生创新与工程能力的培养。

在基本设计课题的基础上,扩展设计功能。要求学生完成基本设计课题电路功能后,完成一定的扩展功能的设计。例如在“抢答器设计”课题中,基础要求为4人抢答器,扩展要求为8人抢答器,抢答时间从递增计数改为递减计数;在“交通灯控制器设计”课题中,基础要求为单路时间显示,扩展要求为两路时间显示,并增加单向交通管控功能;在“多路彩灯控制器设计”课题中,基础要求为三种花型的8路彩灯,扩展要求为五种花型的16路彩灯;在“数字频率计”课题中,基础要求为被测信号频率为1Hz~9999Hz,扩展要求为被测信号频率为1Hz~999999Hz。设计题目的基础性与扩展性,有利于促进学生基本理论知识的强化与实践综合能力的培养。

同时从提高实际工程素养和训练工程应用能力出发,将Multisim仿真教学和工程实践有机结合。Multisim仿真软件容错功能强大,但也存在仿真设计上的一些工程设计细节问题。学生所缺少的工程能力不能完全依靠仿真获得,还需要教师从工程实践角度进行指导。例如在“交通灯控制器设计”中,Multisim仿真还需要注意一些工程细节。

1.对于555多谐振荡器设计模块,电阻、电容的选取应该根据工程实际进行合理参数选取,既需要考虑输出波形的频率、占空比的精度,又需要考虑电阻电容的标称值。

2.对计数器设计模块,中规模集成计数器的种类繁多,例如74161、74163、74393、7493等,应综合考虑各种计数器功能、价格、复杂度等因素,进行设计选型。

3.对于显示译码模块,要根据具体的七段数码管的共阴极和共阳极种类进行选取,使用共阴极数码管可选择7448,使用共阴极数码管可选择7447。

4.对于数码显示模块,根据实际应用场合选取一定型号的七段数码显示管,数码管功率大小决定是否加功率驱动电路,以及合理的限流电阻选取。

三、基于FPGA的现代设计

在课程设计Multisim仿真设计完成的基础上,进入第二阶段的设计:基于FPGA的EDA设计。在该设计阶段,学生对设计课题已经有了充分的认识,可以突破74系列芯片的限制,采用VHDL语言进行高效的EDA设计,这能激发学生学习的兴趣,启发学生的思维和想象,有力地促进学生的电路设计能力、工程实践能力和自主创新能力的培养。

在该设计阶段,要求学生由上而下将设计课题划分为各个功能模块,并在QuartusII软件中,采用VHDL语言编程实现各个模块功能;顶层电路图可以采用语言或者原理图输入方式,之后进行设计的编译、仿真,最后将编译后的文件烧写到实验平台的FPGA目标芯片中,从而完成数字系统的设计。FPGA芯片的I / O接口与输入输出器件采用固定的连接方式,不需要进行复杂的手工连线,学生不再受到芯片种类、连线故障、硬件电路故障等困扰,能将精力主要集中于数字系统功能的实现,这可以激发学生的学习兴趣。通过课程设计中的FPGA设计,使学生学习到现代数字系统的设计方法,跟踪现代数字电路发展的技术潮流,对培养学生创新能力、提高实际工程素养和训练工程应用能力起到重要的促进作用。

针对课程设计的实际教学需求,我校数字电路课程教学组研制了以ALTERA公司EP3C10E144为核心的FPGA实验平台,该实验平台的主要资源有以下几部分。

1.输入接口:包括6位的独立按键、10位的独立开关、多时钟信号(1Hz、10Hz、100Hz、1KHz、100KHz、1MHz、50MHz)、12位AD输入等资源。

2.输出接口:包括16个LED灯、4个独立数码管、8位动态扫描数码管、12位DA输出等资源。

3.下载与供电模块:实验平台中集成了USB BLASTER下载模块,同时通过USB接口从PC中获得+5V的电源,方便学生对实验平台的使用,有效地避免了多次插拔USB BLASTER造成的器件损坏。

实验平台的资源满足了“交通灯控制器设计”、“抢答器设计”、“数字钟设计”、“多路彩灯控制器设计”、“信号发生器设计”、“电子密码锁设计”、“数字频率计”、“数字电压表设计”等多个设计课题的需求。学生通过FPGA设计的实际程序下载,与第一阶段的Multisim仿真结果进行比较分析,能更好地了解仿真与工程设计的区别,掌握现代数字系统的设计方法。

四、结语

西安邮电大学进行的数字电路课程设计教学改革,从课程实际出发,切实实现理论知识和工程实践相统一,以培养学生工程实践能力、自主创新能力为目标,引入现代EDA技术,培养学生的现代数字系统设计方法,这种教学改革收到了良好的教学效果,值得推广。

[ 参 考 文 献 ]

[1] 李文,黄文,赵全友,尹向东.Multisim仿真的数字逻辑工程素养培养[J].实验室研究与探索,2014(12):62-65.

[2] 王玫,王桂珍,田丽鸿.基于EDA改革数电课程设计,培养学生创新能力[J].电气电子教学学报,2006(4):18-21.

[3] 倪德克.Multisim 10.0在数字电路逻辑设计教学中的应用[J].西安邮电学院学报,2011(16):90-92.

[4] 薛延侠.“数字电路”实验教学的创新与研究[J].实验室研究与探索,2007(2):84-86.

[5] 张亚君,陈龙,牛小燕.Multisim在数字电路与逻辑设计实验教学中的应用[J].实验技术与管理,2008(8):108-111.

[6] 田东.数字电路课程设计的改革与探讨[J].实验技术与管理,2006(5):118-119.

[7] 李旭,张为公.基于科研项目的数字电路创新型实验教学改革[J].实验室研究与探索,2015(1):168-171.

[8] 宋烈武.EDA技术与数字电路实验教学的有机结合[J].中南民族大学学报,2004(8):279-280.

[9] 肖慧娟,李勇,张丽娟,等.数字电路与逻辑设计课程实验改革[J].电气电子教学学报,2010(6):101-102.

[责任编辑:陈 明]

作者:倪德克 师亚莉 朱旭花 王静 戢小亮

第3篇:EDA技术课程教学模式改革探索

摘要:随着EDA(电子设计自动化)技术的飞速发展,EDA技术在众多领域得到广泛应用,社会对掌握EDA技术的人才需求更为迫切,要求高校能够培养出具有创新能力的技术人才。现讨论了北京信息科技大学在EDA教学中的采取的一些具体措施,主要体现在教学模式、教学内容、教学手段和教学环境上的改进。围绕着“学为主,教为辅”的教学模式,采用了实验室教学、分层教学和实战教学等具体实践,极大地培养了学生学习的兴趣和自主性,锻炼了学生的动手能力和创新能力。

关键词:EDA技术;分层教学;实战教学

作者简介:张利(1979-),女,辽宁黑山人,北京信息科技大学自动化学院,讲师;高晶敏(1966-),女,山西应县人,北京信息科技大学自动化学院,教授。(北京 100192)

基金项目:本文系北京信息科技大学2010 年度教改项目“EDA课程教学模式的探讨”资助的研究成果。

EDA(Electronic Design Automation,电子设计自动化)技术作为现代电子设计技术的核心,它依赖于功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL(Hardware Description Language,硬件描述语言)为系统逻辑描述手段完成的设计文件,自动完成逻辑编译、逻辑化简、逻辑分割、逻辑综合、结构综合以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。EDA技术使得设计者可以以软件的方式实现系统硬件功能的设计。

随着EDA技术的发展,EDA技术在电子信息、自动控制等众多领域得到广泛应用,社会对EDA技术人才的需求不断提高,这种需求会直接反映到高校的教学和科研领域。从近几年的大学生电子竞赛来看,全国竞赛中一直包含需使用EDA技术来完成的赛题,北京市近两届的大学生电子竞赛的题目均必须用EDA技术来实现。电子竞赛赛题的内容说明了人才市场对EDA技术的一种需求,同时也促进了高校EDA教学方法和教学内容的改革。

以往的EDA教学中,采用大班授课的方式,教师将EDA的设计方法用满堂灌的方式传授给学生,考试一般仍采用笔试的形式。经过对实验情况以及竞赛培训分析发现,学生的实际动手能力较差,编程中遇到各种问题时,自己解决能力的水平有限导致了学习兴趣的丧失,最后往往成为为了考试而学习的机器。

针对这些情况,近几年北京信息科技大学(以下简称“我校”)一直在探索提高EDA教学质量的方式方法。针对EDA技术这门课程的特点,结合我校的实际教学环境,对“学为主,教为辅”的教学模式进行初步探索,具体体现在实验室教学、分层教学、实战教学等几个方面。两个学年的具体教学实践证明,这种教学方式得到了绝大部分学生的认可,学生学习的兴趣、自主性和动手实践能力均得到了增强。

一、“学为主教为辅”的教学模式的探索

EDA技术是一门与工程实践紧密结合的课程,该课程有别于模拟电子技术这类理论性较强的课程,需要详细介绍电路的原理,去分析每个结论。EDA技术侧重于应用,课程的核心在于用VHDL语言设计并实现各种电子系统,因此不需要花太大精力在课堂上逐字逐句地讲解语言的使用,而需要学生在掌握设计理念的前提下通过实践去掌握EDA的设计方法。因此这门课程适合与现代教育技术中的教学理念相结合,采用“以学生自主的学习为主,教师讲授为辅”的教学模式。

目前教育提倡的是素质教育,培养创新人才。具有创新能力和创造能力的人才需要具备以下基础:具备足够的基础知识,具备实际的操作能力,具有掌握和运用有关信息技术的能力。

实施这样的素质教育,必须解放思想,放弃以往的传统教育模式,而采取以学生为中心的教学模式。传统的教学模式以教师为中心,教师在整个教学过程中是主讲者,学生是被动地接受灌输。在EDA的教学中强调的是学生的动手实践能力,培养学生解决实际问题的能力,因此有大量学生参与的过程,需要学生不断地动手去做,动脑去想。仅凭教师的讲解,学生不实际去操作,是很难培养起学生的兴趣的,也就不容易取得好的教学效果。若能将学生的被动学习逐渐转化为主动学习,以学生学为主,教师给予一些引导和辅助,就可以极大地调动学生的积极性,挖掘出他们的内在潜力。

因此在这门课程的教学中不再过多强调VHDL语言的讲解,而是围绕着实际应用去介绍相关的语句,更多的语句学习留给学生在应用中自主完成。为了和学生长期的学习习惯形成一定的链接,课堂上保留1/3时间的教师讲解,分出1/3时间给学生进行实际操作,还有1/3时间进行案例的讨论。讨论的内容是教师提前布置给学生的,要求学生自己通过上网或者是查找书籍等各种手段进行自学,然后大家在课堂上进行交流。

二、实验室教学(教学实施方式的改变)

在授课模式上,针对EDA课程具有很强的应用性的特点,应更注重培养学生的实际操作能力。结合现代教育中“学为主,教为辅”的教学理念,将授课地点安排在实验室。课堂中围绕教学任务先讲解30~40分钟,讲解内容包含VHDL语言的介绍,举例对具体设计内容进行分析,编程演示。以VHDL基本介绍这节课为例:1)先介绍并行语句when else和with select的使用,可以用这两个语句实现数据选择器、七段译码器、编码器等;2)然后就四选一数据选择器为例,对输入输出信号进行分析,工作原理进行分析,用when else语句实现数据选择器的程序写法;3)最后在quartersII软件上编程来实现,编程的过程采用激发式方法,教师写程序的同时询问学生的看法,并且说明编程过程中容易出现的问题,编译仿真观察仿真波形。讲解之后的20分钟,安排七段译码器的内容让学生编程来实现,学生编程的过程会遇到各种问题,老师给予及时解决。

通过这样一个过程,学生就可以基本掌握语句的使用,以及这样的语句可以用来实现什么功能,并且通过自己编写程序的过程,降低了学生对于实际编程做设计的畏惧心理,同时也激发了学生学习这门课的积极性和进行深度探索学习的欲望。在小的实验程序的基础上,随着课程的深入就可以较为容易地完成一个电子系统的设计。

从硬件条件来看,电工电子实验教学中心的EDA实验室具有40套EDA实验箱,可供容量为40人的班级每人一台机器进行编程练习。该实验箱采用ALTER公司的芯片,外围配备了AD、DA、键盘、液晶显示、串口等电路单元,在满足学生课内实验要求的同时,又可满足部分学生进行科技制作、创新实验的要求。EDA实验室还配备了40套DE2的大学计划的开发板,用于满足学生自己设计外围电路、实现一些实例创作的需要。装设了投影仪,老师可以在实验室里进行相关讲授。中心的实验室条件给小班授课、实验室授课提供了硬件支持。

三、分层教学(教学内容的改变)

按照大纲要求,结合工程性特点,在教学内容上进行了一定的变化。授课内容上,按照由简单到复杂,由一般数字系统到工程实践,将EDA课程划分为基本语言介绍、进阶语言学习、提高学习、实战部分和硬件电路设计。

基本语言介绍主要学习基本的VHDl语言,辅之以数据选择器,编码器,译码器等组合逻辑电路,以及计数器和寄存器等时序电路,大概六学时。

进阶语言学习,这一部分主要学习状态机循环数组的介绍以及元件例化与映像,以交通灯电路作为状态机的举例,结合前面的译码器实例,介绍频率计、抢答器等电路,大概六学时。

提高层重点介绍一些实际的应用实例,如AD控制程序、串口通讯程序等,大概六学时。

实战部分,布置一个大的项目(多个题目,同学根据自己的兴趣任选,也可以由同学自拟题目),课堂上大家讨论,大概四学时。

最后花两学时时间给同学介绍EDA的硬件外围电路设计(最小系统)。

四、实战教学

EDA技术是一门编程实现的课程,以项目的形式让同学们完成可以极大地激发学生的热情和竞争意识,从而让学生从硬件原理设计、编程实现以及硬件调试整各方面去熟悉EDA的整个设计流程。实现的过程中让同学们三人一组,自由组合,内容上也是从简单到复杂。每做一个项目,不仅要求学生在硬件上实现功能,而且要求写设计报告、现场演示以及ppt汇报。通过学生作品功能完整性、合理性、文档的条理性以及汇报情况来决定学生的得分。

实战1:抢答器、频率计等电路的设计,只给出题目和外围的硬件接口,具体功能让学生自由发挥,由于是第一次做完整功能的设计,不要求学生做外围电路设计,通过编程实现,学生可基本掌握编程的方法和技巧。

实战2:接口电路的设计,如AD、DA。要求学生在给定的芯片范围内进行选择(也可以自费自选芯片),焊接电路板,编写控制程序,最后调试成功;以AD电路为例,要求对电位器上电压进行采样,将结果用数码管显示;通过这样的小型电路的设计,学生可以基本掌握EDA芯片与外围芯片之间的接口设计与控制。

实战3:小型项目或往届竞赛题目的设计;作为实战的核心,这一部分要求学生完成一定的电路功能;经过硬件电路设计与焊接、编程实现与仿真、硬件调试等过程,学生可以掌握整个EDA电路设计的过程。

在项目内容上选用了一些往届的竞赛题目和一些基于前面的模块设计之上综合题目,这些题目均与实际工程紧密相连,可激发起学生完成的兴趣。经过这样的锻炼,大部分同学可以对硬件设计有一个很好的了解,对于他们参加之后的电子竞赛或者是做毕业设计都有很大的帮助。在2010年北京市大学生电子竞赛中,笔者指导的学生中有两组二年级学生,他们刚学过EDA课程,结果均获得了三等奖。这些学生在之后的校嵌入式电子竞赛中也都取得了好成绩。

五、结束语

EDA技术在目前的应用越来越广泛,要求高校的EDA技术的教学能够为社会培养具有一定实践和创新能力的EDA人才。通过“学为主”的教学尝试,实验室教学、实战教学等措施,极大地培养了学生学习的兴趣,训练了学生的动手实践能力,帮学生解决了“为什么学,能做什么,该怎么做”的问题。在EDA教学探索过程中,还有很多问题需要解决,需要相关任课教师不断努力。

参考文献:

[1]黄乡生.“EDA技术与应用”的研究性实践教学方法研究[J].东华理工大学学报(社会科学版),2010,29(3):68-70.

[2]潘汉怀,安雪娥.EDA技术与应用教学改革初探[J].科技信息,2009,(5):475-476.

[3]梁洪卫,高丙坤,等.EDA技术与应用课程实验与实践教学研究[J].实验室科学,2010,13(3):40-41.

[4]聂章龙,罗大晖.以“项目教学”为载体的EDA技术课程改革探索与案例设计[J].中国电力教育,2009,(2):93-95.

(责任编辑:沈清)

作者:张利 高晶敏 杨秀媛

第4篇:EDA课程设计题目

课程设计题目 设计一 数字式竞赛抢答器

1、设计一个可容纳 6 组(或 4 组)参赛的数字式抢答器,每组设一个按钮,供抢答使用。

2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。

3、设置一个主持人“复位”按钮。

4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,扬声器发出 2~3 秒的音响。

5、设置一个计分电路,每组开始预置 100 分,由主持人记分,答对一次加 10 分,答错一次减 10 分。

教学提示:

1、 此设计问题的关键是准确判断出第一抢答者并将其锁存,实现的方法可使用触发器或锁存器,在得到 第一信号后将输入封锁,使其它组的抢答信号无效。

2、 形成第一抢答信号后,用编码、译码及数码显示电路显示第一抢答者的组别,用第一抢答信号推动扬 声器发出音响。

3、 计分电路采用十进制加/减计数器、数码管显示,由于每次都是加/减 10 分,所以个位始终为零,只要十位、百位进行加/减运算即可。

设计二 数字钟

1、 设计一个能显示1/10秒、秒、分、时的12小时数字钟。

2、 熟练掌握各种计数器的使用。

3、 能用计数器构成十进制、六十进制、十二进制等所需进制的计数器。

4、 能用低位的进位输出构成高位的计数脉冲。

教学提示:

1、时钟源使用频率为0.1Hz的连续脉冲。

2、设置两个按钮,一个供“开始”及“停止”用,一个供系统“复位”用。

3、时钟显示使用数码管显示。

4、“时显示”部分应注意12点后显示1点。

5、注意各部分的关系,由低位到高位逐级设计、调试。

设计三 数字频率计

1、 设计一个能测量方波信号的频率的频率计。

2、 测量的频率范围是0?999999Hz。

3、 结果用十进制数显示。 教学提示:

1、 脉冲信号的频率就是在单位时间内所产生的脉冲个数,其表达式为,f为被测信号的频率,N为计数器 所累计的脉冲个数,T为产生N个脉冲所需的时间。所以,在1秒时间内计数器所记录的结果,就是被 测信号的频率。

2、 被测频率信号取自实验箱晶体振荡器输出信号,加到主控门的输入端。

3、 再取晶体振荡器的另一标准频率信号,经分频后产生各种时基脉冲:1ms,10ms,0.1s,1s等,时基信 号的选择可以控制,即量程可以改变。

4、 时基信号经控制电路产生闸门信号至主控门, 只有在闸门信号采样期间内(时基信号的一个周期), 输入 信号才通过主控门。

5、 f=N/T,改变时基信号的周期T,即可得到不同的测频范围。

6、 当主控门关闭时,计数器停止计数,显示器显示记录结果,此时控制电路输出一个置零信号,将计数 器和所有触发器复位,为新的一次采样做好准备。

7、 改变量程时,小数点能自动移位。

设计四 拔河游戏机

1、 设计一个能进行拔河游戏的电路。

2、 电路使用15个(或9个)发光二极管,开机后只有中间一个发亮,此即拔河的中心点。

3、 游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按 一次,亮点移动一次。

4、 亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使 亮点恢复到中心。

5、 用数码管显示获胜者的盘数。

教学提示:

1、 按钮信号即输入的脉冲信号,每按一次按钮都应能进行有效的计数。

2、 用可逆计数器的加、减计数输入端分别接受两路脉冲信号,可逆计数器原始输出状态为0000,经译码 器输出,使中间一只二极管发亮。

3、 当计数器进行加法计数时,亮点向右移;进行减法计数时,亮点向左移。

4、 由一个控制电路指示谁胜谁负,当亮点移到任一方终端时,由控制电路产生一个信号,使计数器停止 计数。

5、 将双方终端二极管“点亮”信号分别接两个计数器的“使能”端,当一方取胜时,相应的计数器进行 一次计数,这样得到双方取胜次数的显示。

6、 设置一个“复位”按钮,使亮点回到中心,取胜计数器也要设置一个“复位”按钮,使之能清零。

设计五 乒乓球比赛游戏机

1、 设计一个由甲、乙双方参赛,有裁判的3人乒乓球游戏机。

2、 用8个(或更多个)LED排成一条直线,以中点为界,两边各代表参赛双方的位置,其中一只点亮的LED 指示球的当前位置,点亮的LED依此从左到右,或从右到左,其移动的速度应能调节。

3、 当“球”(点亮的那只LED)运动到某方的最后一位时,参赛者应能果断地按下位于自己一方的按钮开关, 即表示启动球拍击球。若击中,则球向相反方向移动;若未击中,则对方得1分。

4、 一方得分时,电路自动响铃3秒,这期间发球无效,等铃声停止后方能继续比赛。

5、 设置自动记分电路,甲、乙双方各用2位数码管进行记分显示,每计满21分为1局。

6、 甲、乙双方各设一个发光二极管,表示拥有发球权,每隔5次自动交换发球权,拥有发球权的一方发球 才有效。

教学提示:

1、 用双向移位寄存器的输出端控制LED显示来模拟乒乓球运动的轨迹,先点亮位于某一方的第1个LED,由 击球者通过按钮输入开关信号,实现移位方向的控制。

2、 也可用计数译码方式实现乒乓球运动轨迹的模拟,如利用加/减计数器的2个时钟信号实现甲、乙双方 的击球,由表示球拍的按钮产生计数时钟,计数器的输出状态经译码驱动LED发亮。

3、 任何时刻都保持一个LED发亮,若发亮的LED运动到对方的终点,但对方未能及时输入信号使其向相反 方向移动,即失去1分。

4、 控制电路决定整个系统的协调动作,必须严格掌握各信号之间的关系。

设计六 交通信号灯控制器

1、 设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、 黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。

2、 红、绿、黄发光二极管作信号灯,用传感器或逻辑开关作检测车辆是否到来的信号。

3、 主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干 道亮绿灯时,主干道亮红灯。

4、 主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、 25秒计时、显示电路。

5、 在每次由绿灯亮到红灯亮的转换过程中, 要亮5秒黄灯作为过渡, 使行驶中的车辆有时间停到禁行线外, 设立5秒计时、显示电路。

教学提示:

1、 主、支干道用传感器检测车辆到来情况,实验电路用逻辑开关代替。

2、 选择 1HZ 时钟脉冲作为系统时钟。

3、 45 秒、25 秒、5 秒定时信号可用顺计时,也可用倒计时,计时起始信号由主控电路给出,每当计满所 需时间,即向主控电路输出“时间到”信号,并使计数器清零,由主控电路启、闭三色信号灯或启动 另一计时电路。

4、 主控电路是核心,这是一个时序电路,其输入信号为:车辆检测信号(A,B, ;45 秒、25 秒、5 秒定 时信号(C,D,E) ,其输出状态控制相应的三色灯。主控电路可以由两个 JK 触发器和逻辑门构成, 其输出经译码后,控制主干道三色灯 R、G、Y 和支干道三色灯 r、g、y。

设计七 电子密码锁

1、 设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿 灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁;

2、 在锁的控制电路中储存一个可以修改的 4 位代码,当开锁按钮开关(可设置成 6 位至 8 位,其中实际 有效为 4 位,其余为虚设)的输入代码等于储存代码时,开锁;

3、 从第一个按钮触动后的 5 秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并 由扬声器发出持续 20 秒的报警信号。 教学提示:

1、 该题的主要任务是产生一个开锁信号,而开锁信号的形成条件是,输入代码和已设密码相同。实现这种功能的电路构思有多种,例如,用两片8位锁存器,一片存入密码,另一片输入开锁的代码,通过比 较的方式,若两者相等,则形成开锁信号。

2、 在产生开锁信号后,要求输出声、光信号,声音的产生由开锁信号触动扬声器工作,光信号由开锁信 号点亮 LED 指示灯;

3、 用按钮开关的第一个动作信号触发一个 5 秒定时器,若 5 秒内无开锁信号产生,让扬声器发出特殊音响,以示警告,并输出一个信号推动 LED 不断闪烁。

设计八 彩灯控制器

1、 设计一个彩灯控制器,使彩灯(LED 管)能连续发出四种以上不同的显示形式;

2、随着彩灯显示图案的变化,发出不同的音响声。 教学提示: 教学提示

1、彩灯显示的不同形式可由不同进制计数器驱动 LED 显示完成;

2、 音响由选择不同频率 CP 脉冲驱动扬声器形成。

设计九 脉冲按键电话显示器

1、 设计一个具有 8 位显示的电话按键显示器;

2、 能准确地反映按键数字;

3、 显示器显示从低位向高位前移,逐位显示按键数字,最低位为当前输入位;

4、 *设置一个“重拨”键,按下此键,能显示最后一次输入的电话号码;

5、 *挂机 2 秒后或按熄灭按键,熄灭显示器显示。

教学提示:

1、 利用中规模计数器的予置数功能可以实现不同的按键对应不同的数字;

2、 设置一个计数器记录按键次数,从而实现数字显示的移位。

设计十 简易电子琴

1、 设计一个简易电子琴;

2、 利用实验箱的脉冲源产生 1,2,3,……共 7 个或 14 个音阶信号;

3、 用指示灯显示节拍;

4、 *能产生颤音效果。

教学提示:

1、 各音阶信号由脉冲源经分频得到。

设计十一 出租车自动计费器

1、 设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示 总金额,最大值为 99.99 元;

2、 行车里程单价 1 元/公里,等候时间单价 0.5 元/10 分钟,起价 3 元(3 公里起价)均能通过人工输入。

3、 行车里程的计费电路将汽车行驶的里程数转换成与之成正比的脉冲数,然后由计数译码电路转换成收费金额,实验中以一个脉冲模拟汽车前进十米,则每 100 个脉冲表示 1 公里,然后用 BCD 码比例乘法 器将里程脉冲乘以每公里单价的比例系数,比例系数可由开关预置。例如单价是 1.0 元/公里,则脉冲当量为 0.01 元/脉冲。

4、 用 LED 显示行驶公里数,两个数码管显示收费金额。

教学提示:

1、 等候时间计费需将等候时间转换成脉冲个数,用每个脉冲表示的金额与脉冲数相乘即得计费数,例如 100 个脉冲表示 10 分钟,而 10 分钟收费 0.5 元,则脉冲当量为 0.05 元/脉冲,如果将脉冲当量设置成与行车里程计费相同(0.01 元/脉冲) ,则 10 分钟内的脉冲数应为 500 个。

2、 用 LED 显示等候时间,两个数码管表示等候时间收费金额。

3、 用加法器将几项收费相加,P=P1+P2+P3,

4、 P1 为起价,P2 为行车里程计费,P3 为等候时间计费,用两个数码管表示结果。

设计十二 洗衣机控制器

1、 设计一个电子定时器,控制洗衣机作如下运转:定时启动→正转 20 秒→暂停 10 秒→反转 20 秒→暂停 10 秒→定时未到回到“正转 20 秒→暂停 10 秒→……” ,定时到则停止;

2、 若定时到,则停机发出音响信号;

3、 用两个数码管显示洗涤的预置时间 (分钟数) 按倒计时方式对洗涤过程作计时显示, , 直到时间到停机; 洗涤过程由“开始”信号开始;

4、三只 LED 灯表示“正转”、“反转”、“暂停”三个状态。

教学提示:

1、 设计 20 秒、10 秒定时电路。

2、 电路输出为“正转”、“反转”、“暂停”三个状态。

3、 按照设计要求,用定时器的“时间到”信号启动相应的下一个定时器工作,直到整个过程结束。 13. 可控脉冲发生器

内容及要求:

实现周期、占空比均可调的脉冲发生器。 (1)采用1khz的工作时钟;

(2)脉冲周期0.5s~6s,占空比10%~90%; (3)可初始化:周期2.5s,占空比50%;

14. 8bit序列检测器

内容及要求:

完成从2bit输入码流中检测特定8bit数据的电路,具体要求如下: (1)输入2bit码流,msb在前,4个周期的数据组成一个结构化字节; (2)检测序列0x7e;

(3)成功检测到特定序列后,点亮一个LED;

(4)操作中采用开关作为数据输入,按键作为有效数据指示信号; (5)工作时钟选择1k即可;

(6)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验证等。

15人表决电路设计

内容及要求

完成7人表决电路设计,LED灯表示通过、否决。 (1)开关表示赞成与否,1~8编号(1赞成); (2)LED显示表决的结果;

(3)数码管分别显示赞成、否决的人数; (4)工作时钟100Hz即可;

(5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、下载验证等。

16排队电路设计

内容及要求

单窗口排队机电路,给每个新来者编号,并计算队伍长度。

(1)进队、离队两个信号作为输入,当前服务号码和队长各由4个数码管显示; (2)初始时队长0,进队号码由1顺序递增,输出编号; (3)有人入队,长度加,有人离队长度减; (4)工作时钟适当即可;

(5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、下载验证等。

17算术计算器电路设计

内容及要求

实现一位十进制数的加减乘除元算,结果显示在数码管上。 (1)键盘作为运算数据输入; (2)不同位置的按键代表运算符; (3)2个数码管显示运算结果; (4)支持连续运算;

(5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验证等。

18电梯控制器电路设计

内容及要求

设计智能电梯运行控制电路,板级验证用按键/开关与数码管/LED表示输入与输出。 (1)楼层4层;

(2)梯内显示当前楼层、梯外各层显示当前电梯所在楼层;

(3)1层只有向上按钮,4层只有向下按钮,其余各层均有上下按钮; (4)电梯具有锁定功能(梯内);

(5)超重/超员报警(电路有空载、超重、乘客入梯指示输入); (6)采用Altera的cyclone器件,目标板soc实验室开发板; (7)设计相应的调度算法,使运营成本最低;

(8)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、后仿真、下载验证等。 19 LCD模块接口电路设计

内容及要求

实现NiosII驱动240X128LCD显示。

(1)T6963C控制器,显示模块与CPU的接口采用标准的8位微处理器接口,即D0~D

7、nRD、nWR、nCE以及C/D信号,当C/D为‘1’时,选中指令通道,数据总线上的数据均为指令;当C/D为‘0’时,选中数据通道,数据总线上的数据为写入LCD或读出的有效数据。8bit输入,串行输出,伴有串行信号msb指示;

(2)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、下载验证等。

20 音频信号存储与播放

内容及要求

(1)4.096s音频信号,8kA/D转换为8bit数据,共32768字节,存储播放; (2)音频数据自行解决,可采用语音传感器采集; (3)扬声器播放;

(4)可以部分播放,时长可控;

(5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、下载验证等。

21 脉宽调制电路设计

内容及要求 脉宽调制电路。 (1)脉宽可调; (2)周期可调;

(3)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验证等。

22. 计时器电路设计

内容及要求

设计时、分、秒计时器电路,数码管显示输出。 (1)输入10K精准时钟;

(2)具有时、分、秒计时功能,24小时制; (3)时分秒均可手动调整校正;

(4)计时信息有6个数码管显示输出,格式hhmmss;或者8个数码管显示输出,格式hh:mm:ss; (5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验证等。

23 FIR滤波器电路设计

内容及要求

完成有限冲激响应低通数字滤波器设计。 (1)滤波频率20kHz;

(2)增益不作要求,抽头系数自行计算; (3)同步电路设计;

(4)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验证等。

24自动售货机电路

内容及要求

设计自动售货机电路,要求如下:

(1)待售物品价格1元、2元、3元、五元; (2)只接受1元、5元、10元币值; (3)机内存有1元零钱无限;

(4)投入钱币之前认为售货机为空闲状态;投入钱币后需要按下物品标签吐出商品; (5)自动找零;

(6)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验证等。 25. 排队电路设计

内容及要求

单窗口排队机电路,给每个新来者编号,并计算队伍长度。

(1)进队、离队两个信号作为输入,当前服务号码和队长各由4个数码管显示; (2)初始时队长0,进队号码由1顺序递增,输出编号; (3)有人入队,长度加,有人离队长度减; (4)工作时钟适当即可;

(5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、下载验证等。

26信号发生器系统设计

内容及要求

设计一个简易信号发生器。要求能够产生正弦波、方波、锯齿波和三角波信号,并能够实现调频功能;最终能在示波器上观察到各种波形。

27 汽车尾灯控制器设计

内容及要求

根据现代交通规则,汽车尾灯控制器应满足以下基本要求: (1)汽车正常使用时指示灯不亮; (2)汽车右转时,右侧的一盏灯亮; (3)汽车左转时,左侧的一盏灯亮;

(4)汽车刹车时,左右两侧的指示灯同时亮;

(5)汽车夜间行驶时,左右两侧的指示灯同时一直亮,供照明使用。

28 简易音乐播放器

内容及要求

产生音乐的两个因素是音乐频率和音乐的持续时间,以纯硬件完成演奏电路比利用微处理器(CPU)来实现乐曲演奏要复杂的多如果不借助于功能强大的EDA工具和硬件描述语言,凭借传统的数字逻辑技术,即使最简单的演奏电路也难以实现。根据设计要求,乐曲硬件演奏电路系统主要由数控分频器和乐曲存储模块组成。数控分频器对FPGA的基准频率进行分频,得到与各个音阶对应的频率输出。乐曲存储模块产生节拍控制和音阶选择信号,即在此模块中可存放一个乐曲曲谱真值表,由一个计数器来控制此真值表的输出,而由计数器的计数时钟信号作为乐曲节拍控制信号。 29自动售邮票机

内容及要求

设计一个自动售邮票机,用开关电平信号模拟投币过程,每次投一枚硬币,但可以连续投入数枚硬币。机器能自动识别硬币金额,最大为1元,最小为5角。设定票价为2.5元,每次售一张票。

购票时先投入硬币,当投入的硬币总金额达到或超过票的面值时,机器发出指示,这时可以按取票键取出票。如果所投硬币超过票的面值则会提示找零钱,取完票以后按找零键则可以取出零钱。

30看门狗设计

内容及要求

1.看门狗电路时电子系统,是嵌入式系统中常用的抗干扰措施之一,其作用是在程序“跑 飞”后强制系统复位。

2.看门狗实际上是一个计数器,它需要在一定时间内被清零,否则,看门狗将产生一个复位信号使系统重新启动。 3. 系统主要由3个功能模块构成: 1) 计数比较模块; 2) 分频模块; 3) 复位计时模块

第5篇:EDA课程设计题目_图文.

课程设计题目

设计一数字式竞赛抢答器

1、设计一个可容纳6 组(或4 组参赛的数字式抢答器,每组设一个按钮,供抢答使用。

2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。

3、设置一个主持人“复位”按钮。

4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,扬声器发出2~3 秒的音响。

5、设置一个计分电路,每组开始预置100 分,由主持人记分,答对一次加10 分,答错一次减10 分。教学提示:

1、此设计问题的关键是准确判断出第一抢答者并将其锁存,实现的方法可使用触发器或锁存器,在得到第一信号后将输入封锁,使其它组的抢答信号无效。

2、形成第一抢答信号后,用编码、译码及数码显示电路显示第一抢答者的组别,用第一抢答信号推动扬声器发出音响。

3、计分电路采用十进制加/减计数器、数码管显示,由于每次都是加/减10 分,所以个位始终为零,只要十位、百位进行加/减运算即可。

设计二数字钟

1、设计一个能显示1/10秒、秒、分、时的12小时数字钟。

2、熟练掌握各种计数器的使用。

3、能用计数器构成十进制、六十进制、十二进制等所需进制的计数器。

4、能用低位的进位输出构成高位的计数脉冲。

教学提示:

1、时钟源使用频率为0.1Hz的连续脉冲。

2、设置两个按钮,一个供“开始”及“停止”用,一个供系统“复位”用。

3、时钟显示使用数码管显示。

4、“时显示”部分应注意12点后显示1点。

5、注意各部分的关系,由低位到高位逐级设计、调试。 设计三数字频率计

1、设计一个能测量方波信号的频率的频率计。

2、测量的频率范围是0?999999Hz。

3、结果用十进制数显示。 教学提示:

1、脉冲信号的频率就是在单位时间内所产生的脉冲个数,其表达式为,f为被测信号的频率,N为计数器所累计的脉冲个数,T为产生N个脉冲所需的时间。所以,在1秒时间内计数器所记录的结果,就是被测信号的频率。

2、被测频率信号取自实验箱晶体振荡器输出信号,加到主控门的输入端。

3、再取晶体振荡器的另一标准频率信号,经分频后产生各种时基脉冲:1ms,10ms,0.1s,1s等,时基信号的选择可以控制,即量程可以改变。

4、时基信号经控制电路产生闸门信号至主控门,只有在闸门信号采样期间内(时基信号的一个周期,输入信号才通过主控门。

5、f=N/T,改变时基信号的周期T,即可得到不同的测频范围。

6、当主控门关闭时,计数器停止计数,显示器显示记录结果,此时控制电路输出一个置零信号,将计数器和所有触发器复位,为新的一次采样做好准备。

7、改变量程时,小数点能自动移位。 设计四拔河游戏机

1、设计一个能进行拔河游戏的电路。

2、电路使用15个(或9个发光二极管,开机后只有中间一个发亮,此即拔河的中心点。

3、游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。

4、亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。

5、用数码管显示获胜者的盘数。 教学提示:

1、按钮信号即输入的脉冲信号,每按一次按钮都应能进行有效的计数。

2、用可逆计数器的加、减计数输入端分别接受两路脉冲信号,可逆计数器原始输出状态为0000,经译码器输出,使中间一只二极管发亮。

3、当计数器进行加法计数时,亮点向右移;进行减法计数时,亮点向左移。

4、由一个控制电路指示谁胜谁负,当亮点移到任一方终端时,由控制电路产生一个信号,使计数器停止计数。

5、将双方终端二极管“点亮”信号分别接两个计数器的“使能”端,当一方取胜时,相应的计数器进行一次计数,这样得到双方取胜次数的显示。

6、设置一个“复位”按钮,使亮点回到中心,取胜计数器也要设置一个“复位”按钮,使之能清零。

设计五乒乓球比赛游戏机

1、设计一个由甲、乙双方参赛,有裁判的3人乒乓球游戏机。

2、用8个(或更多个LED排成一条直线,以中点为界,两边各代表参赛双方的位置,其中一只点亮的LED 指示球的当前位置,点亮的LED依此从左到右,或从右到左,其移动的速度应能调节。

3、当“球”(点亮的那只LED运动到某方的最后一位时,参赛者应能果断地按下位于自己一方的按钮开关,即表示启动球拍击球。若击中,则球向相反方向移动;若未击中,则对方得1分。

4、一方得分时,电路自动响铃3秒,这期间发球无效,等铃声停止后方能继续比赛。

5、设置自动记分电路,甲、乙双方各用2位数码管进行记分显示,每计满21分为1局。

6、甲、乙双方各设一个发光二极管,表示拥有发球权,每隔5次自动交换发球权,拥有发球权的一方发球才有效。

教学提示:

1、用双向移位寄存器的输出端控制LED显示来模拟乒乓球运动的轨迹,先点亮位于某一方的第1个LED,由击球者通过按钮输入开关信号,实现移位方向的控制。

2、也可用计数译码方式实现乒乓球运动轨迹的模拟,如利用加/减计数器的2个时钟信号实现甲、乙双方的击球,由表示球拍的按钮产生计数时钟,计数器的输出状态经译码驱动LED发亮。

3、任何时刻都保持一个LED发亮,若发亮的LED运动到对方的终点,但对方未能及时输入信号使其向相反方向移动,即失去1分。

4、控制电路决定整个系统的协调动作,必须严格掌握各信号之间的关系。 设计六交通信号灯控制器

1、设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、

黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。

2、红、绿、黄发光二极管作信号灯,用传感器或逻辑开关作检测车辆是否到来的信号。

3、主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。

4、主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、25秒计时、显示电路。

5、在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡,使行驶中的车辆有时间停到禁行线外,设立5秒计时、显示电路。

教学提示:

1、主、支干道用传感器检测车辆到来情况,实验电路用逻辑开关代替。

2、选择1HZ 时钟脉冲作为系统时钟。

3、45 秒、25 秒、5 秒定时信号可用顺计时,也可用倒计时,计时起始信号由主控电路给出,每当计满所需时间,即向主控电路输出“时间到”信号,并使计数器清零,由主控电路启、闭三色信号灯或启动另一计时电路。

4、主控电路是核心,这是一个时序电路,其输入信号为:车辆检测信号(A,B,;45 秒、25 秒、5 秒定时信号(C,D,E,其输出状态控制相应的三色灯。主控电路可以由两个JK 触发器和逻辑门构成,其输出经译码后,控制主干道三色灯R、G、Y 和支干道三色灯r、g、y。

设计七电子密码锁

1、设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁;

2、在锁的控制电路中储存一个可以修改的4 位代码,当开锁按钮开关(可设置成6 位至8 位,其中实际有效为4 位,其余为虚设的输入代码等于储存代码时,开锁;

3、从第一个按钮触动后的5 秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20 秒的报警信号。

教学提示:

1、该题的主要任务是产生一个开锁信号,而开锁信号的形成条件是,输入代码和已设密码相同。实现这种功能的电路构思有多种,例如,用两片8位锁存器,一片存入密码,另一片输入开锁的代码,通过比较的方式,若两者相等,则形成开锁信号。

2、在产生开锁信号后,要求输出声、光信号,声音的产生由开锁信号触动扬声器工作,光信号由开锁信号点亮LED 指示灯;

3、用按钮开关的第一个动作信号触发一个5 秒定时器,若5 秒内无开锁信号产生,让扬声器发出特殊音响,以示警告,并输出一个信号推动LED 不断闪烁。

设计八彩灯控制器

1、设计一个彩灯控制器,使彩灯(LED 管能连续发出四种以上不同的显示形式;

2、随着彩灯显示图案的变化,发出不同的音响声。教学提示: 教学提示

1、彩灯显示的不同形式可由不同进制计数器驱动LED 显示完成;

2、音响由选择不同频率CP 脉冲驱动扬声器形成。 设计九脉冲按键电话显示器

1、设计一个具有8 位显示的电话按键显示器;

2、能准确地反映按键数字;

3、显示器显示从低位向高位前移,逐位显示按键数字,最低位为当前输入位;

4、*设置一个“重拨”键,按下此键,能显示最后一次输入的电话号码;

5、*挂机2 秒后或按熄灭按键,熄灭显示器显示。 教学提示:

1、利用中规模计数器的予置数功能可以实现不同的按键对应不同的数字;

2、设置一个计数器记录按键次数,从而实现数字显示的移位。 设计十简易电子琴

1、设计一个简易电子琴;

2、利用实验箱的脉冲源产生1,2,3,……共7 个或14 个音阶信号;

3、用指示灯显示节拍;

4、*能产生颤音效果。 教学提示:

1、各音阶信号由脉冲源经分频得到。

设计十一出租车自动计费器

1、设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示总金额,最大值为99.99 元;

2、行车里程单价1 元/公里,等候时间单价0.5 元/10 分钟,起价3 元(3 公里起价均能通过人工输入。

3、行车里程的计费电路将汽车行驶的里程数转换成与之成正比的脉冲数,然后由计数译码电路转换成收费金额,实验中以一个脉冲模拟汽车前进十米,则每100 个脉冲表示1 公里,然后用BCD 码比例乘法器将里程脉冲乘以每公里单价的比例系数,比例系数可由开关预置。例如单价是 1.0 元/公里,则脉冲当量为0.01 元/脉冲。

4、用LED 显示行驶公里数,两个数码管显示收费金额。 教学提示:

1、等候时间计费需将等候时间转换成脉冲个数,用每个脉冲表示的金额与脉冲数相乘即得计费数,例如100 个脉冲表示10 分钟,而10 分钟收费0.5 元,则脉冲当量为0.05 元/脉冲,如果将脉冲当量设置成与行车里程计费相同(0.01 元/脉冲,则10 分钟内的脉冲数应为500 个。

2、用LED 显示等候时间,两个数码管表示等候时间收费金额。

3、用加法器将几项收费相加,P=P1+P2+P3,

4、P1 为起价,P2 为行车里程计费,P3 为等候时间计费,用两个数码管表示结果。

设计十二洗衣机控制器

1、设计一个电子定时器,控制洗衣机作如下运转:定时启动→正转20 秒→暂停10 秒→反转20 秒→暂停10 秒→定时未到回到“正转20 秒→暂停10 秒→……” ,定时到则停止;

2、若定时到,则停机发出音响信号;

3、用两个数码管显示洗涤的预置时间(分钟数按倒计时方式对洗涤过程作计时显示,,直到时间到停机;洗涤过程由“开始”信号开始;

4、三只LED 灯表示“正转”、“反转”、“暂停”三个状态。 教学提示:

1、设计20 秒、10 秒定时电路。

2、电路输出为“正转”、“反转”、“暂停”三个状态。

3、按照设计要求,用定时器的“时间到”信号启动相应的下一个定时器工作,直到整个过程结束。

13. 可控脉冲发生器 内容及要求: 实现周期、占空比均可调的脉冲发生器。 (1采用1khz的工作时钟; (2脉冲周期0.5s~6s,占空比10%~90%; (3可初始化:周期2.5s,占空比50%; 14. 8bit序列检测器 内容及要求:

完成从2bit输入码流中检测特定8bit数据的电路,具体要求如下: (1输入2bit码流,msb在前,4个周期的数据组成一个结构化字节; (2检测序列0x7e; (3成功检测到特定序列后,点亮一个LED; (4操作中采用开关作为数据输入,按键作为有效数据指示信号; (5工作时钟选择1k即可; (6完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验

证等。

15人表决电路设计 内容及要求

完成7人表决电路设计,LED灯表示通过、否决。 (1开关表示赞成与否,1~8编号(1赞成; (2LED显示表决的结果; (3数码管分别显示赞成、否决的人数; (4工作时钟100Hz即可; (5完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿

真、下载验证等。

16排队电路设计 内容及要求

单窗口排队机电路,给每个新来者编号,并计算队伍长度。

(1进队、离队两个信号作为输入,当前服务号码和队长各由4个数码管显示; (2初始时队长0,进队号码由1顺序递增,输出编号; (3有人入队,长度加,有人离队长度减; (4工作时钟适当即可; (5完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿

真、下载验证等。 17算术计算器电路设计 内容及要求

实现一位十进制数的加减乘除元算,结果显示在数码管上。 (1键盘作为运算数据输入; (2不同位置的按键代表运算符; (32个数码管显示运算结果; (4支持连续运算; (5完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验

证等。

18电梯控制器电路设计 内容及要求

设计智能电梯运行控制电路,板级验证用按键/开关与数码管/LED表示输入与输出。

(1楼层4层; (2梯内显示当前楼层、梯外各层显示当前电梯所在楼层; (31层只有向上按钮,4层只有向下按钮,其余各层均有上下按钮; (4电梯具有锁定功能(梯内; (5超重/超员报警(电路有空载、超重、乘客入梯指示输入; (6采用Altera的cyclone器件,目标板soc实验室开发板; (7设计相应的调度算法,使运营成本最低; (8完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、后仿真、

下载验证等。

19 LCD模块接口电路设计 内容及要求

实现NiosII驱动240X128LCD显示。

(1T6963C控制器,显示模块与CPU的接口采用标准的8位微处理器接口,即D0~D

7、nRD、nWR、nCE

以及C/D信号,当C/D为„1‟时,选中指令通道,数据总线上的数据均为指令;当C/D为„0‟时,选中数据通道,数据总线上的数据为写入LCD或读出的有效数据。8bit输入,串行输出,伴有串行信号msb指示; (2完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿

真、下载验证等。 20 音频信号存储与播放 内容及要求

(14.096s音频信号,8kA/D转换为8bit数据,共32768字节,存储播放; (2音频数据自行解决,可采用语音传感器采集; (3扬声器播放; (4可以部分播放,时长可控; (5完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿

真、下载验证等。 21 脉宽调制电路设计 内容及要求 脉宽调制电路。 (1脉宽可调; (2周期可调;

(3完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验

证等。

22. 计时器电路设计 内容及要求

设计时、分、秒计时器电路,数码管显示输出。 (1输入10K精准时钟; (2具有时、分、秒计时功能,24小时制; (3时分秒均可手动调整校正; (4计时信息有6个数码管显示输出,格式hhmmss;或者8个数码管显示输出,格式hh:mm:ss; (5完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验

证等。

23 FIR滤波器电路设计 内容及要求

完成有限冲激响应低通数字滤波器设计。 (1滤波频率20kHz; (2增益不作要求,抽头系数自行计算; (3同步电路设计;

(4完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验

证等。

24自动售货机电路 内容及要求

设计自动售货机电路,要求如下: (1待售物品价格1元、2元、3元、五元; (2只接受1元、5元、10元币值; (3机内存有1元零钱无限; (4投入钱币之前认为售货机为空闲状态;投入钱币后需要按下物品标签吐出商品; (5自动找零; (6完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验

证等。

25. 排队电路设计 内容及要求

单窗口排队机电路,给每个新来者编号,并计算队伍长度。

(1进队、离队两个信号作为输入,当前服务号码和队长各由4个数码管显示; (2初始时队长0,进队号码由1顺序递增,输出编号;

(3有人入队,长度加,有人离队长度减; (4工作时钟适当即可; (5完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿

真、下载验证等。

全勤“√”迟到“×”请假“⊙”早退“*”

1引言

EDA是英文"Electronics Design Automation(电子设计自动化"的缩写。EDA技术是近几年迅速发展起来的计算机软件、硬件和微电子交叉的现代电子设计学科,是现代电子工程领域的一门新技术。它是以可编程逻辑器件(PLD为物质基础,以计算机为工作平台,以EDA工具软件为开发环境,以硬件描述语言(HDL作为电子系统功能描述的主要方式,以电子系统设计为应用方向的电子产品自动化设计过程。

VHDL是常用的HDL,它的英文全名是VHSIC"Very High Speed Integrated Circuit Handware Description Language(高速集成电路硬件描述语言",VHDL语言具有很强的电路描述和建模能力,能简化硬件设计任务,提高设计的效率和可靠性。

Quartus II是Alters提供的FPGA"Field Programmable Gate Array(现场可编程门阵列"和CPLD "Complex programmable Logic Device(复杂可编程逻辑器件"的开发集成环境,Alters是世界最大可编程逻辑器件供应商之一。Alters的Quartus II提供了完整的多平台设计环境,能满足各种特定设计的需要,也是单芯片可编程系统((SOPC设计的综合性环境和SOPC开发的基本设计工具,并为Alters DSP开发包进行系统模型设计提供了集成综合环境。

2设计原理

本文通过利用数控分频器设计硬件乐曲演奏电路(电子琴的设计实例,使读者初步了解 VHDL硬件描述语言和Quartus II开发环境。本设计是在Alters的FPGA芯片CycloneEP1C6和杭州康芯电子有限公司生产的GW48系列EDA实验系统基础上完成的,硬件测试用实验系统的电路模式3,特点是有8个琴键式键控发生器,可用于设计八音琴等电路系统,也可以产生时间长度可控的单次脉冲。该电路有8个译码输出显示的数码管,以显示目标芯片的32位输出信号,且8个发光管也能显示目标器件的8位输出信号。时钟为SOMHz.输出接扬声器。

主系统TONETABA.VHD和SPEAKER.VHD 2 个模块组成: (1音符的频率可以由SPEAKER获得,这是一个数控分频器。由其clk端输人一具有较高频率(这里是12MHZ的信号,通过SPEAKERA分频后由SPKOUT输出。

(2音符的持续时间须根据乐曲的速度及每个音符的节拍数决定,模块TONETABA的功能是为SPEAKERA提供决定所发音的分频预置值,而此数在SPEAKERA输人口停留的时间即为此音符的节拍值。

3 逻辑时序仿真 4 结论 本文作者创新点是基于 FPGA 完成乐曲演奏电路,在 Altera Quartus II 环境下,用 VHDL 语言实现电子琴 演奏音乐的设计实例,设计者根据 VHDL 的语法规则,对系统的逻辑行为进行描述,然后通过综合工具进行电路 结构的综合、编译、优化,用仿真,可在短时间内设计出高效、稳定、符合设计要求的电路。本设计还可扩展 为多个音阶的电子琴,并利用 FPGA 内部的 ROM 装上多首歌曲,可用手动或自动选择歌曲。与利用微处理器(CPU 和 MCU来实现乐曲演奏相比,可以很简单的设计出多种演奏电路具有高性能,高可靠性等优点,在性能价格比 方面优于同类产品,有着广泛的应用前景和使用价值。

第6篇:2018春EDA课程设计安排及参考题目[大全]

EDA课程设计安排

要求:

1、至少完成一个设计任务,撰写课程设计报告,交A4纸打印稿,字数不限,左侧装订,单独答辩考核,提前完成可提前答辩。

2、课程设计过程:选题、查资料、设计、实验箱上实现(或者自己做实物)、写报告、答辩。

3、参考题目和要求附后,自己选定题目后查阅资料进行设计,需要实验验证的可以到实验室(1C06 325)进行实验验证。

4、可以自己选择参考课题以外的课题,但难度和工作量不能少于参考题目的。

5、辅导答疑:办公室(1C06 321),具体时间另行安排。

6、实验室开放时间:上午8:30到 下午3:00,与李帅老师联系,进实验室时一定要穿鞋套。

7、课程设计报告必须交,每人单独答辩,考核内容程序设计、仿真调试、硬件实现。

8、答辩时间另行通知。

电话:13846150185 QQ:276432828 邮箱:wq.wangquan@163.com 办公室:1C06 321

EDA课程设计参考题目及要求

可自选其它题目

课程设计题一:八人抢答器

要求:

1、八人抢答逻辑:只有一个最先抢答有效。

2、在主持人控制下,10秒内抢答有效。

3、采用数码管显示抢答10秒倒计时,若有抢答直接结束,显示结果。

4、抢答结束后用数码管显示抢答结果:抢答有效人编号;若有异常(提前抢答,犯规),显示抢答人编号和E,本次抢答结束 。

5、设主持人控制键、复位键。

控制键:启动抢答

复位键:系统复位

6、开始、正常结束、抢答结束、违规抢答采用声音提示。

课程设计题二:7人多数表决器

要求:

1、7人多数表决逻辑:多数通过。

2、在主持人控制下,10秒内表决有效。

3、采用数码管显示表决10秒倒计时。

4、表决结束后用发光二极管及数码管显示表决结果,数码管显示结果:通过、不通过,同意人数。

5、设主持人控制键、复位键。

控制键:启动表决

复位键:系统复位

6、表决开始、结束采用声音提示。

课程设计题三:日历和数字种

要求:

1、数字钟:时、分,小时用二十四进制显示,分钟用六十进制显示。

2、每月日历为30天,并显示星期几。

3、显示采用动态数码管显示方式或静态显示方式。

动态时显示八位:日期 星期几 小时 分钟

静态时显示四位:分别显示(日期30天 星期)和(小时 分钟)采用控制键切换或周期轮流显示。

4、具有清零的功能,且能够对小时、分钟进行调整(校时)。

5、具有整点、半点报时功能,整点用声音次数报时,半点特殊声音报时。

课程设计题四:LED彩灯控制器设计

要求:

1、至少10个发光管4种花样自动变换,循环往复。

2、彩灯花样变换的快慢节拍可以手动和自动方式控制,手动控制按钮按一次转换一次;自动控制方式每15秒变换一次,1分钟循环一遍。

3、系统复位清零开关。

课程设计题五:汽车尾灯控制器设计

要求:

1、汽车车尾左右两侧各有四盏灯:黄灯、红灯、雾灯、倒车照明灯,前面有照明灯(远光、近光)、黄灯、雾灯

2、白天正常行驶时照明灯都不亮,夜间行驶时两个前照明灯都亮。

3、左转弯时左侧黄灯闪亮(2Hz),右转弯时右侧黄灯闪亮。

4、汽车刹车时,两个红灯都亮。

5、能见度低或者夜间行驶时雾灯点亮。

6、倒车时红灯、倒车照明灯亮。

课程设计题六:秒表

要求:

1、计时范围:0~59分59.59秒,整数四位数和小数两位数显示;

2、计时精度10毫秒;

3、复位按钮,计时器清零,并做好下次计时准备;

4、可以对两个对象(A、B)计时,具有启/停控制;

3、设开始、停止A、停止B、显示A、显示B、复位按钮。

课程设计题七:交通灯控制器

要求:

1、红灯和绿灯相互转换时经过黄灯,黄灯闪烁三次(6秒);

2、主干道方向通行30秒,辅干道方向通行20秒,单独左转信号15秒;先直行信号,后左转信号。

3、设手动、自动、特殊情况三种方式。

4、特殊情况时所有路口红灯都亮,给120、110等通行。

5、自动时显示各种状态倒计时。

课程设计题八:篮球比赛计分器

要求:

1、两队各三位显示,共计六位数码显示;

2、设A、B队加、减分按键,复位键;

3、加减分实现1分、2分、3分加减。

课程设计题九:循环码编码器和译码器设计

要求:

1、单独设计一个8位循环码编码器;

2、单独设计一个8位循环码译码器;

3、两个模块连在一起工作。

课程设计题十:简易电子琴设计

要求:

1、设置三种音阶:低音、中音、高音控制按键;

2、设计

1、

2、

3、

4、

5、

6、7音(哆来咪法搜拉稀);

3、能弹奏一首简单的儿歌。

课程设计题十一:拔河游戏机设计

要求:

1、电路使用9个发光二极管表示拔河的“电子绳”,开机后只有中间一个发亮,此即拔河的中心点。游戏甲乙双方各持一个按钮,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。亮点移到任一方终端二极管,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。

2、由裁判下达比赛开始命令后,甲乙双方才能输入信号,否则,输入信号无效。

3、用数码管显示获胜者的盘数,每次比赛结束自动给获胜方加分。

课程设计题十二:电子密码锁

要求:

1、在锁开的状态下输入密码,设置的密码共4位,用数据开关K1∼K10分别代表数字

1、

2、…、

9、0,输入的密码用数码管显示,最后输入的密

码显示在最右边的数码管上,即每输入一位数,密码在数码管上的显示左移一位。可删除输入的数字,删除的是最后输入的数字,每删除一位,密码在数码管的显示右移一位,并在左边空出的位上补充“0”。

2、用一位输出电平的状态代表锁的开闭状态,绿色发光管指示。

3、为保证密码锁主人能打开密码锁,设置一个万能密码,在主人忘记密码时使用。

第7篇:EDA课程设计时钟

EDA

课程设计

姓名:

学号:

班级:自动化

设计题目

多功能数字钟电路设计

设计任务及要求

多功能数字钟应该具有的功能有:显示时—分—秒、小时和分钟可调等基本功能。整个钟表的工作应该是在1Hz信号的作用下进行,这样每来一个时钟信号,秒增加1秒,当秒从59秒跳转到00秒时,分钟增加1分,同时当分钟从59分跳转到00分时,小时增加1小时,小时的范围为0~23时。

在实验中为了显示的方便,由于分钟和秒钟显示的范围都是从0~59,所以可以用一个3位的二进制码显示十位,用一个四位的二进制码(BCD码)显示个位,对于小时因为他的范围是从0~23,所以可以用一个2位的二进制码显示十位,用一个4位的二进制码(BCD码)显示个位。

实验中由于七段码管是扫描的方式

显示,所以虽然时钟需要的是1Hz时钟信号,但是扫描需要一个比较高频率的信号,因此为了得到准确的1Hz信号,必须对输入的系统时钟50Mhz进行分频。

调整时间的按键用按键模块的S1和S2,S1调节小时,每按下一次,小时增加一个小时;S2调整分钟,每按下一次,分钟增加一分钟。另外用S8按键作为系统时钟复位,复位后全部显示00—00—00。

三.基于Verilog

HDL语言的电路设计、仿真与综合

(一)顶层模块

本程序采用结构化设计方法,将其分为彼此独立又有一定联系的三个模块,如图1所示:

图1:顶层结构框图

(二)子模块

1.分频器

分频器的作用是对50Mhz的系统时钟信号进行分频,得到频率为1000hz的信号,作为显示器的输入信号。

源程序如下:

module

fenpin(input

CP,

output

CPout

);

reg

CPout;

reg

[31:0]

Cout;

reg

CP_En;

always

@(posedge

CP

)

//将50MHz分频为1kHz

begin

Cout

<=

(Cout

==

32'd50000)

?

32'd0

:

(Cout

+

32'd1);

CP_En

<=

(Cout

==

32'd50000)

?

1'd1

:

1'd0;

CPout

<=

CP_En;

end

endmodule

功能仿真波形如图2所示(以五分频为例):

2.控制器和计数器

控制器的作用是,调整小时和分钟的值,并能实现清零功能。计数器的作用是实现分钟和秒钟满60进1,小时则由23跳到00。当到达59分55秒的时候,LED灯会闪烁来进行报时。因为控制器和计数器的驱动信号频率均为1Hz,故从分频器输出的信号进入控制器后,要进行二次分频,由1Khz变为1Hz。

if(Clk_En)

begin

if(R1==1)

begin

if(Hour<24)

Hour=Hour+1;

if(Hour==24)

begin

Hour=0;

end

R1=0;

end

if(R2==1)

begin

if(Minute<60)

Minute=Minute+1;

if(Minute==60)

begin

Minute=0;

if(Hour<24)

Hour=Hour+1;

if(Hour==24)

begin

Hour=0;

End

end

R2=0;

end

if(Second<60)

Second=Second+1;

if(Second==60)

begin

Second=0;

if(Minute<60)

Minute=Minute+1;

源程序如下:

module

kongzhiqi(

CPout,S1,S2,RET,

Hour,Minute,Second,LED

);

input

CPout,S1,S2,RET;

output

[5:0]

Hour;

output

[5:0]

Minute;

output

[5:0]

Second;

output

LED;

reg

[5:0]

Hour;

reg

[5:0]

Minute;

reg

[5:0]

Second;

reg

R1;

reg

R2,R8,LED;

reg

[10:0]

Cout;

reg

Clk_En;

always@(posedge

CPout)

begin

if(S1==0)

begin

R1=1;

end

if(S2==0)

begin

R2=1;

end

if(RET==0)

begin

R8=1;

end

Cout=(Cout==32'd1000)?32'd0:(Cout

+

32'd1);

Clk_En=(Cout==32'd1000)?1'd1:1'd0;

LED=1;

end

else

LED=0;

if(R8==1)//清零

begin

Hour=0;

Minute=0;

Second=0;

R8=0;

end

end

end

endmod

if(Minute==60)

begin

Minute=0;

if(Hour<24)

Hour=Hour+1;

if(Hour==24)

begin

Hour=0;

end

end

end

if((Minute==59)&&(Second>55))

begin

if(LED==1)

LED=0;

else

功能仿真波形如图3所示:

3.显示器

显示器的作用是将时—分—秒的值在数码管上依次显示出来。从分频器输出的1Khz的信号作为数码管的扫描信号。SEL

表示三个数码管选择位,它的取值表示八个数码管,从左至右依次是111~000。LEDGA表示七段数码管,它的取值决定特定位数上显示的数字。

源程序如下:

4'b0000:

Led

=

7'b0111_111;

4'b0001:

Led

=

7'b0000_110;

4'b0010:

Led

=

7'b1011_011;

4'b0011:

Led

=

7'b1001_111;

4'b0100:

Led

=

7'b1100_110;

4'b0101:

Led

=

7'b1101_101;

4'b0110:

Led

=

7'b1111_101;

4'b0111:

Led

=

7'b0000_111;

4'b1000:

Led

=

7'b1111_111;

4'b1001:

Led

=

7'b1101_111;

default:

Led

=

7'b0000_000;

endcase

if(SEL==3'b100)

Led=7'b1000_000;

if(SEL==3'b011)

case(shiwei2)

4'b0000:

Led

=

7'b0111_111;

4'b0001:

Led

=

7'b0000_110;

4'b0010:

Led

=

7'b1011_011;

4'b0011:

Led

=

7'b1001_111;

4'b0100:

Led

=

7'b1100_110;

module

xianshi(

CPout,Hour,Minute,

Second,SEL,LEDAG

);

input

CPout;

input

Hour,Minute,

Second;

output

SEL,LEDAG;

reg

[2:0]

SEL;

reg

[6:0]

Led;

reg

[3:0]

shi1,ge1,shi2,ge2,shi3,ge3;

always

@(posedge

CPout

)

begin

shiwei1=Hour/10;

gewei1=Hour%10;

shiwei2=Minute/10;

gewei2=Minute%10;

shiwei3=Second/10;

gewei3=Second%10;

if(SEL==3'b110)

case(shiwei1)

4'b0000:

Led

=

7'b0111_111;

4'b0001:

Led

=

7'b0000_110;

4'b0010:

Led

=

7'b1011_011;

4'b0011:

Led

=

7'b1001_111;

4'b0100:

Led

=

7'b1100_110;

4'b0101:

Led

=

7'b1101_101;

4'b0110:

Led

=

7'b1111_101;

4'b0111:

Led

=

7'b0000_111;

4'b1000:

Led

=

7'b1111_111;

4'b1001:

Led

=

7'b1101_111;

default:

Led

=

7'b0000_000;

endcase

if(SEL==3'b101)

case(gewei1)

default:

Led

=

7'b0000_000;

endcase

if(SEL==3'b111)

case(gewei3)

4'b0000:

Led

=

7'b0111_111;

4'b0001:

Led

=

7'b0000_110;

4'b0010:

Led

=

7'b1011_011;

4'b0011:

Led

=

7'b1001_111;

4'b0100:

Led

=

7'b1100_110;

4'b0101:

Led

=

7'b1101_101;

4'b0110:

Led

=

7'b1111_101;

4'b0111:

Led

=

7'b0000_111;

4'b1000:

Led

=

7'b1111_111;

4'b1001:

Led

=

7'b1101_111;

default:

Led

=

7'b0000_000;

endcase

SEL

=

SEL

+

3'd1;

end

assign

LEDAG=Led;

endmodule

4'b0101:

Led

=

7'b1101_101;

4'b0110:

Led

=

7'b1111_101;

4'b0111:

Led

=

7'b0000_111;

4'b1000:

Led

=

7'b1111_111;

4'b1001:

Led

=

7'b1101_111;

default:

Led

=

7'b0000_000;

endcase

if(SEL==3'b010)

case(gewei2)

4'b0000:

Led

=

7'b0111_111;

4'b0001:

Led

=

7'b0000_110;

4'b0010:

Led

=

7'b1011_011;

4'b0011:

Led

=

7'b1001_111;

4'b0100:

Led

=

7'b1100_110;

4'b0101:

Led

=

7'b1101_101;

4'b0110:

Led

=

7'b1111_101;

4'b0111:

Led

=

7'b0000_111;

4'b1000:

Led

=

7'b1111_111;

4'b1001:

Led

=

7'b1101_111;

default:

Led

=

7'b0000_000;

endcase

if(SEL==3'b001)

Led=7'b1000_000;

if(SEL==3'b000)

case(shiwei3)

4'b0000:

Led

=

7'b0111_111;

4'b0001:

Led

=

7'b0000_110;

4'b0010:

Led

=

7'b1011_011;

4'b0011:

Led

=

7'b1001_111;

4'b0100:

Led

=

7'b1100_110;

4'b0101:

Led

=

7'b1101_101;

4'b0110:

Led

=

7'b1111_101;

4'b0111:

Led

=

7'b0000_111;

4'b1000:

Led

=

7'b1111_111;

4'b1001:

Led

=

7'b1101_111;

总结体会

这次课程设计虽然只有短短的四天,但我的收获却很大。通过这次实习,我掌握了EDA设计的基本流程(即设计输入—编译—调试—仿真—下载),领会了自顶而下结构化设计的优点,并具备了初步的EDA程序设计能力。

我感觉,这个程序最难的地方在于顶层模块的设计,因为顶层模块需要将各个子模块按照电路原理有机地结合起来,这需要扎实的理论功底,而这正是我所欠缺的。相比而言,子模块的设计就容易多了,因为Verilog语言和C语言有很多相似之处,只要明白了实验原理,就不难完成,水平的高下只体现在程序的简洁与否。Verilog源程序的编写很容易出现错误,这就需要耐心的调试。因为很多情况下,一长串的错误往往是由一个不经意的小错误引起的。当程序屡调屡错的时候,最好和其他同学沟通交流一下,他们不经意的一句话,就可能给我启发,使问题迎刃而解。

这次实习,给我感触最深的还是行为态度问题。人的能力有大有小,但只要端正态度,不抛弃,不放弃,任何人都能取得令自己满意的成绩。在此,我由衷的感谢在这次课程设计中给了我巨大帮助的老师和同学们!

第8篇:EDA课程设计感想

这是一次综合性很强的实验,从最初的模型规划,到具体功能的实现,再到电路的连接,直至最后的电路调试,每一个环节都让我加深了对实际问题的思考,同时也让我动手能力有了很大的提高。

这次最大的收获就是学会了很系统地去解决一个实际问题,学会了巧妙运用模块化的思想。在整个电路设计与实现中,最成功的地方就是有条理地将功能细化,分成一个一个小的功能来实现。没做好一个小功能实现的电路,就将其集成为一块具有此功能的芯片,这样,在之后的电路连接中就只要将这块芯片接入即可,最后就这样一级一级地将电路集成,最后生成的电子钟电路就只是一块芯片,只要加一些其他外部控制开关与显示电路就能实现此多功能电子钟的各功能。

在这个过程中,我更深刻地体会从分立元件到中下规模集成电路再到大规模集成电路的组成过程。同时也更加深入地了解了Quarterii这一软件的更多的功能。更重要的是在此过程中,我学会了独立思考,遇到问题一步一步去研究与解决解决,对于电路出现的问题不急于拆线,而是一部分一部分地对其应有的功能进行调试,对问题进行各个击破。总而言之,这次实验让我觉得受益匪浅,不再觉得学无所用。实验所解决的问题与生活紧密相连,从而将平时学书本上的理论与实践很好地结合起来,最终当做出成品时,有很大的成就感。

七.遇到的问题及解决方案

1.编译通过后,下载到硬件上之后,发现程序并没有预期的效果。如显示乱序而没有规律等等,当加入适当的选通信号或者脉冲后发现问题得到了解决。所以必须在实践中不断地修改以得到正确的结论。

2.高电平有效还是低电平有效,这是一个非常容易忽视的问题,有时就知道这个端口要控制信号但不考虑好什么电平有效,造成错误,使得使能端或者清零端的出现错误控制信号。并且到底是脉冲控制,还是边沿控制一定要清楚。在实验时候可以避免一些不必要的麻烦。

3.引脚重复使用也是一个问题,有时候输出信号必须输出到一个特定的引脚。而输出来自两路信号,这时候必须加入一个选择器件选择输出信号输出。

在实际调试的时候我们必须耐心思考,遇到问题针对问题出现的原因认真思考以解决问题。 ---------------------

这次的课程设计对于我来说是毕业前的一次演练,从抽题到画出流程图,再到写出程序,不停的编不停的改不停的查资料……直至最后完成,搭档和我都非常的努力,一有问题大家都坐在一起讨论,一起努力,一起攻克问题。我想我们享受的就是这个过程,而不仅仅只是结果。通过这次的设计,我知道了,在任何问题面前,只要我们努力,只要我们勇敢,我想,他们都不是问题,都不是困难。最后感谢搭档的努力和合作,感谢老师的指导。 ---------------

这次EDA课程设计历时两个星期,在整整两个星期的日子里,可以说是苦多于甜,但是可以学的到很多很多的东西,同时不仅可以巩固以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次设计,进一步加深了对EDA的了解,让我对它有了更加浓厚的兴趣。特别是当每一个子模块编写调试成功时,心里特别的开心。但是在编写顶层文件的程序时,遇到了不少问题,特别是各元件之间的连接,以及信号的定义,总是有错误,在细心的检查下,终于找出了错误和警告,排除困难后,程序编译就通过了,心里终于舒了一口气。在波形仿真时,也遇到了一点困难,想要的结果不能在波形上得到正确的显示:在设定输入的时钟信号后,数字秒表开始计数,但是始终看不到秒和小时的循环计数。后来,在数十次的调试之后,才发现是因为输入的时钟信号对于器件的延迟时间来说太短了。经过屡次调试,终于找到了比较合适的输入数值:时钟周期设置在1zzxu.cne的值需要设置的长一点:500us左右,这样就可以观察到完整的仿真结果。

其次,在连接各个模块的时候一定要注意各个输入、输出引脚的线宽,因为每个线宽是不一

样的,只要让各个线宽互相匹配,才能得出正确的结果,否则,出现任何一点小的误差就会导致整个文件系统的编译出现错误提示,在器件的选择上也有一定的技巧,只有选择了合适当前电路所适合的器件,编译才能得到完满成功。

通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。

总的来说,这次设计的数字秒表还是比较成功的,在设计中遇到了很多问题,最后在老师的辛勤的指导下,终于游逆而解,有点小小的成就感,终于觉得平时所学的知识有了实用的价值,达到了理论与实际相结合的目的,不仅学到了不少知识,而且锻炼了自己的能力,使自己对以后的路有了更加清楚的认识,同时,对未来有了更多的信心。最后,对给过我帮助的所有同学和各位指导老师再次表示忠心的感谢!

第9篇:EDA课程设计 电子琴

简易电子琴的设计和实现

----结题报告

学号:110342241

姓名:章译文

一.设计要求

1.能发出1234567基本音。

2.能自动播放《偶像万万岁》和《梁祝》。 3.能在数码管上显示弹奏时的音名。

二.设计原理分析

1.音阶的获得

系统要求要求用7个按键控制发声,我们用7个键盘来控制产生低音(或中音)段的7个音阶频率。所有的音名频率都是通过一个基准频率经过分频得到的。但部分分频过大的音频直接输出给扬声器,频率信号脉冲的占空比会非常窄,不能驱动扬声器工作。于是,我们设计了一个“带有预置数的计数器”来简化整个设计过程。将原频率分频成期望值的2倍频率信号。再通过二分频,驱动扬声器工作。

简易电子琴控制流程图

2.MUSICAL_NOTE内部电路

MUSICAL_NOTE内部电路

使用MUSICAL_NOTE电路,实现初值可变的计数器,并对音阶实现二分频,使电子琴实现正常发音。

按键播放部分电路

3.自动播放功能的实现

自动播放部分电路

Hebing.mif文件(偶像万万岁&梁祝)

歌曲长度为352位,利用三个74261设计0~352的计数器,自动寻址,将音频导入lpm_rom,与MUSICAL_NOTE相互作用,实现自动播放。

按键除颤电路原理图

作为机械开关的键盘,在按键操作时,机械触点的弹性及电压跳动等原因,再触点闭合或者开启的瞬间会出现电压的抖动,如果不进行处理就会造成误操作。按键去抖动的关键在于提取稳定的低电平状态,滤去前沿后沿的抖动毛刺。 4.在数码管上显示弹奏时的音名

显示部分电路图

Display内部电路

电路中的74161是16进制的计数器,它的低三位接到3—8译码器模块74138的地址输入端,这样就可以实现74138的8个输出端轮流输出低电平,恰好用来控制“位码”DIG7~DIG0。LPM_MUX是QuartusⅡ中的宏模块,用来实现多位的“多选一”,它的数据位数可以以及数据的数量可以任意设定。

电路中使用了与非门,实现发音与现实同时实现,在此要注意的是,因为使用了与非门,静态字符现实数码管管脚的设定值应该与原值相反。

三.设计原理图

简易电子琴原理图

根据以上分析,将三个模块组合,便得到了简易电子琴的整体设计。在整体设计的过程中,要注意三个模块之间的关系,使其能够相互作用,正常发音和显示。

四.实验总结 在这三天的实验过程中,通过对于实验目的的分析,有目标的查找资料,研读教材,在分清楚模块的基础上,写下了实验计划,规划好时间,确定每天要做的事。在实验的第一天,实现了按键发音,同时,也基本弄清楚了自动播放的原理,有了设计思路,第二天上午,完成了自动循环播放的功能,下午在同学的帮助下,确定了数码管显示的基本思路,通过对于以前知识的复习和查找,成功实现了数码管的显示功能。

在实验的过程中,也遇到很多的困难。在自动播放的时候,由于没有修改hebing.mif的进制,音乐总不能正常播放。还有就是在数码管显示的时候,一开始没有弄清与非门的作用,显示的数字总是反着的。后来经过冷静的分析,在老师和同学的帮助下,成功完成了电子琴的设计,实现了所有的功能。当然,设计也还有很多可以完善和改进的地方,在以后的学习过程中,我会更加用心,多做,多思考。

这次课程设计,我受益匪浅,这种认真研读,查找资料,动手操作的学习方式,应该贯彻到每一门课程的学习,也应该贯彻到我们的生活中。一直保持这样的方式,不管做什么事,都会取得很好的结果。

上一篇:法律主题教育教学反思下一篇:有关励志的美文