eda课程设计参考题目

2022-08-25 版权声明 我要投稿

第1篇:eda课程设计参考题目

EDA在数字电子技术课程设计中的应用

【摘要】本文分析了传统的数字电子技术课程设计的不足,阐述了在课程设计中引入EDA技术的必要性和优越性。

【关键词】课程设计 数字电子技术 EDA 实验平台

【基金项目】中央高校基本科研业务费专项资金资助(编号:16CX02035A),中国石油大学青年教师教学改革项目(编号:QN201413),中国石油大学教学实验技术改革项目(编号:SY-B201402)。

进入21世纪以来,随着微电子技术、电子技术和计算机技术的飞速发展,数字电子技术及其应用向着更为深入、更为广泛的层次扩展。电子产品的设计周期和上市时间日益缩短,电子产品的功能更加丰富,性能更加优良,由此推动了电子系统设计技术向电子设计自动化EDA方向发展,并且对EDA技术及其应用提出了更高的要求。

1.课程设计的背景

中国石油大学(华东)的“电工电子学”课程是“国家级精品课程”,以着重培养学生的系统观念、工程观念、科技创新等基本素质为教学方针。多年来在教学和科研中紧跟电子技术发展的每一个关键时刻,教学组的教师都适时地对内容体系和教材进行更新和完善,坚持不断进行课程改革,取得了丰硕的成果。“数字电子技术课程设计”是为大二学生暑期开设的一门必修课程,它是“数字电子技术基础”和“电子技术实验”等课程的后续课程,主要以培养学生的实践能力和创新精神为目标,加深学生对理论知识的理解,切实提高动手和解决问题的能力。

2.课程设计的选题

针对电子专业的特点,我们在数字电子技术课程设计部分采用了“基于复杂可编程逻辑器件(CPLD)实现电阻、电感、电容的测量”这一题目。测量工作原理是将被测量转换成频率,由CPLD实现频率的计算,并转换成被测量信号后输出显示。电阻、电感、电容经过转化电路,完成电阻/频率(R/ F)转换,电容/频率(C/ F)转换,电感/频率(L/ F)转换。用CPLD测量其频率,具体功能分块包括:多路选择开关、分频器、时间闸门计数器、测量计数器等。

频率测量的主要部件是一个带门控计数端的计数器(测量计数器),被测信号(被测频率)由此计数器计数。如果门控计数器的开门计数时间恰好为1秒,则测量计数器的计数值就是输入信号的频率。若改变开门计数时间,即可改变频率测量的量程。如开门时间为0.1秒,则量程为×10,开门时间为0.01秒,量程为×100,开门时间为0.001秒,量程为×1000。由计数器的数值即可换算电阻、电感、电容的大小。

本课题要求设计一个测量电阻、电感、电容的4位十进制数字显示的数显仪表,根据频率计的测频原理,由测频量程需要,选择合适的时基信号即闸门时间,对输入被测信号进行计数,实现测量的目的。其数显测量范围为0-99990Hz,满刻度量程分为9999、99990两档,手动转换量程,当输入计数值大于实际量程时有溢出指示。

3.课程设计的实现

(1)按照现代数字系统的Top-Down模块化设计方法,提出数字频率计的整体设计方案,并进行正确的功能划分,分别提出并实现控制器、受控器模块化子系统的设计方案。

(2)针对ispLEVER的EDA设计环境,采用Verilog HDL语言,完成受控器模块(测量计数器)的设计,并采用Abel语言编程进行仿真。

(3)在ispLEVER的EDA设计环境中,完成基于Verilog语言实现的控制器模块(闸门计数器,量程开关,选择开关)的设计,并采用Abel语言进行仿真。

(4)基于ispLEVER的EDA设计环境,采用Verilog HDL语言或原理图,完成顶层模块的设计并采用Abel语言编写测试向量文件进行仿真。

4.结束语

通过课程设计的锻炼,学生可以增强综合分析问题及解决问题的能力,激发学习兴趣和潜在的能动性。有学生在总结报告中写道:“通过这次课程设计,我切身体会到给出一个命题,利用Verilog语言编程实现这个命题,并利用软件模拟仿真,看功能是否得以实现的全过程。一方面学到了许多新知识,另一方面使我们对数字电子设计的全过程有了一个全面的了解,同时也深刻感受到利用EDA软件实现电子设计的强大优势。这样的课程设计很适合我们,使我们受益匪浅”。

参考文献

[1]王君红, 刘复玉, 任旭虎. “电工电子学”实验教学模式改革[J]. 实验科学与技术, 2012, 10(5): 76-78.

[2]于云华. 数字电子技术基础[M]. 东营: 中国石油大学出版社, 2008: 392-399.

作者简介:

张勇(1979-),男,山东东营人,博士,副教授,研究方向为网络控制系统的分析与综合、电工电子学基础课程教学。

作者:张勇 张冬至

第2篇:2018春EDA课程设计安排及参考题目[大全]

EDA课程设计安排

要求:

1、至少完成一个设计任务,撰写课程设计报告,交A4纸打印稿,字数不限,左侧装订,单独答辩考核,提前完成可提前答辩。

2、课程设计过程:选题、查资料、设计、实验箱上实现(或者自己做实物)、写报告、答辩。

3、参考题目和要求附后,自己选定题目后查阅资料进行设计,需要实验验证的可以到实验室(1C06 325)进行实验验证。

4、可以自己选择参考课题以外的课题,但难度和工作量不能少于参考题目的。

5、辅导答疑:办公室(1C06 321),具体时间另行安排。

6、实验室开放时间:上午8:30到 下午3:00,与李帅老师联系,进实验室时一定要穿鞋套。

7、课程设计报告必须交,每人单独答辩,考核内容程序设计、仿真调试、硬件实现。

8、答辩时间另行通知。

电话:13846150185 QQ:276432828 邮箱:wq.wangquan@163.com 办公室:1C06 321

EDA课程设计参考题目及要求

可自选其它题目

课程设计题一:八人抢答器

要求:

1、八人抢答逻辑:只有一个最先抢答有效。

2、在主持人控制下,10秒内抢答有效。

3、采用数码管显示抢答10秒倒计时,若有抢答直接结束,显示结果。

4、抢答结束后用数码管显示抢答结果:抢答有效人编号;若有异常(提前抢答,犯规),显示抢答人编号和E,本次抢答结束 。

5、设主持人控制键、复位键。

控制键:启动抢答

复位键:系统复位

6、开始、正常结束、抢答结束、违规抢答采用声音提示。

课程设计题二:7人多数表决器

要求:

1、7人多数表决逻辑:多数通过。

2、在主持人控制下,10秒内表决有效。

3、采用数码管显示表决10秒倒计时。

4、表决结束后用发光二极管及数码管显示表决结果,数码管显示结果:通过、不通过,同意人数。

5、设主持人控制键、复位键。

控制键:启动表决

复位键:系统复位

6、表决开始、结束采用声音提示。

课程设计题三:日历和数字种

要求:

1、数字钟:时、分,小时用二十四进制显示,分钟用六十进制显示。

2、每月日历为30天,并显示星期几。

3、显示采用动态数码管显示方式或静态显示方式。

动态时显示八位:日期 星期几 小时 分钟

静态时显示四位:分别显示(日期30天 星期)和(小时 分钟)采用控制键切换或周期轮流显示。

4、具有清零的功能,且能够对小时、分钟进行调整(校时)。

5、具有整点、半点报时功能,整点用声音次数报时,半点特殊声音报时。

课程设计题四:LED彩灯控制器设计

要求:

1、至少10个发光管4种花样自动变换,循环往复。

2、彩灯花样变换的快慢节拍可以手动和自动方式控制,手动控制按钮按一次转换一次;自动控制方式每15秒变换一次,1分钟循环一遍。

3、系统复位清零开关。

课程设计题五:汽车尾灯控制器设计

要求:

1、汽车车尾左右两侧各有四盏灯:黄灯、红灯、雾灯、倒车照明灯,前面有照明灯(远光、近光)、黄灯、雾灯

2、白天正常行驶时照明灯都不亮,夜间行驶时两个前照明灯都亮。

3、左转弯时左侧黄灯闪亮(2Hz),右转弯时右侧黄灯闪亮。

4、汽车刹车时,两个红灯都亮。

5、能见度低或者夜间行驶时雾灯点亮。

6、倒车时红灯、倒车照明灯亮。

课程设计题六:秒表

要求:

1、计时范围:0~59分59.59秒,整数四位数和小数两位数显示;

2、计时精度10毫秒;

3、复位按钮,计时器清零,并做好下次计时准备;

4、可以对两个对象(A、B)计时,具有启/停控制;

3、设开始、停止A、停止B、显示A、显示B、复位按钮。

课程设计题七:交通灯控制器

要求:

1、红灯和绿灯相互转换时经过黄灯,黄灯闪烁三次(6秒);

2、主干道方向通行30秒,辅干道方向通行20秒,单独左转信号15秒;先直行信号,后左转信号。

3、设手动、自动、特殊情况三种方式。

4、特殊情况时所有路口红灯都亮,给120、110等通行。

5、自动时显示各种状态倒计时。

课程设计题八:篮球比赛计分器

要求:

1、两队各三位显示,共计六位数码显示;

2、设A、B队加、减分按键,复位键;

3、加减分实现1分、2分、3分加减。

课程设计题九:循环码编码器和译码器设计

要求:

1、单独设计一个8位循环码编码器;

2、单独设计一个8位循环码译码器;

3、两个模块连在一起工作。

课程设计题十:简易电子琴设计

要求:

1、设置三种音阶:低音、中音、高音控制按键;

2、设计

1、

2、

3、

4、

5、

6、7音(哆来咪法搜拉稀);

3、能弹奏一首简单的儿歌。

课程设计题十一:拔河游戏机设计

要求:

1、电路使用9个发光二极管表示拔河的“电子绳”,开机后只有中间一个发亮,此即拔河的中心点。游戏甲乙双方各持一个按钮,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。亮点移到任一方终端二极管,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。

2、由裁判下达比赛开始命令后,甲乙双方才能输入信号,否则,输入信号无效。

3、用数码管显示获胜者的盘数,每次比赛结束自动给获胜方加分。

课程设计题十二:电子密码锁

要求:

1、在锁开的状态下输入密码,设置的密码共4位,用数据开关K1∼K10分别代表数字

1、

2、…、

9、0,输入的密码用数码管显示,最后输入的密

码显示在最右边的数码管上,即每输入一位数,密码在数码管上的显示左移一位。可删除输入的数字,删除的是最后输入的数字,每删除一位,密码在数码管的显示右移一位,并在左边空出的位上补充“0”。

2、用一位输出电平的状态代表锁的开闭状态,绿色发光管指示。

3、为保证密码锁主人能打开密码锁,设置一个万能密码,在主人忘记密码时使用。

第3篇:EDA课程设计题目

课程设计题目 设计一 数字式竞赛抢答器

1、设计一个可容纳 6 组(或 4 组)参赛的数字式抢答器,每组设一个按钮,供抢答使用。

2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。

3、设置一个主持人“复位”按钮。

4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,扬声器发出 2~3 秒的音响。

5、设置一个计分电路,每组开始预置 100 分,由主持人记分,答对一次加 10 分,答错一次减 10 分。

教学提示:

1、 此设计问题的关键是准确判断出第一抢答者并将其锁存,实现的方法可使用触发器或锁存器,在得到 第一信号后将输入封锁,使其它组的抢答信号无效。

2、 形成第一抢答信号后,用编码、译码及数码显示电路显示第一抢答者的组别,用第一抢答信号推动扬 声器发出音响。

3、 计分电路采用十进制加/减计数器、数码管显示,由于每次都是加/减 10 分,所以个位始终为零,只要十位、百位进行加/减运算即可。

设计二 数字钟

1、 设计一个能显示1/10秒、秒、分、时的12小时数字钟。

2、 熟练掌握各种计数器的使用。

3、 能用计数器构成十进制、六十进制、十二进制等所需进制的计数器。

4、 能用低位的进位输出构成高位的计数脉冲。

教学提示:

1、时钟源使用频率为0.1Hz的连续脉冲。

2、设置两个按钮,一个供“开始”及“停止”用,一个供系统“复位”用。

3、时钟显示使用数码管显示。

4、“时显示”部分应注意12点后显示1点。

5、注意各部分的关系,由低位到高位逐级设计、调试。

设计三 数字频率计

1、 设计一个能测量方波信号的频率的频率计。

2、 测量的频率范围是0?999999Hz。

3、 结果用十进制数显示。 教学提示:

1、 脉冲信号的频率就是在单位时间内所产生的脉冲个数,其表达式为,f为被测信号的频率,N为计数器 所累计的脉冲个数,T为产生N个脉冲所需的时间。所以,在1秒时间内计数器所记录的结果,就是被 测信号的频率。

2、 被测频率信号取自实验箱晶体振荡器输出信号,加到主控门的输入端。

3、 再取晶体振荡器的另一标准频率信号,经分频后产生各种时基脉冲:1ms,10ms,0.1s,1s等,时基信 号的选择可以控制,即量程可以改变。

4、 时基信号经控制电路产生闸门信号至主控门, 只有在闸门信号采样期间内(时基信号的一个周期), 输入 信号才通过主控门。

5、 f=N/T,改变时基信号的周期T,即可得到不同的测频范围。

6、 当主控门关闭时,计数器停止计数,显示器显示记录结果,此时控制电路输出一个置零信号,将计数 器和所有触发器复位,为新的一次采样做好准备。

7、 改变量程时,小数点能自动移位。

设计四 拔河游戏机

1、 设计一个能进行拔河游戏的电路。

2、 电路使用15个(或9个)发光二极管,开机后只有中间一个发亮,此即拔河的中心点。

3、 游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按 一次,亮点移动一次。

4、 亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使 亮点恢复到中心。

5、 用数码管显示获胜者的盘数。

教学提示:

1、 按钮信号即输入的脉冲信号,每按一次按钮都应能进行有效的计数。

2、 用可逆计数器的加、减计数输入端分别接受两路脉冲信号,可逆计数器原始输出状态为0000,经译码 器输出,使中间一只二极管发亮。

3、 当计数器进行加法计数时,亮点向右移;进行减法计数时,亮点向左移。

4、 由一个控制电路指示谁胜谁负,当亮点移到任一方终端时,由控制电路产生一个信号,使计数器停止 计数。

5、 将双方终端二极管“点亮”信号分别接两个计数器的“使能”端,当一方取胜时,相应的计数器进行 一次计数,这样得到双方取胜次数的显示。

6、 设置一个“复位”按钮,使亮点回到中心,取胜计数器也要设置一个“复位”按钮,使之能清零。

设计五 乒乓球比赛游戏机

1、 设计一个由甲、乙双方参赛,有裁判的3人乒乓球游戏机。

2、 用8个(或更多个)LED排成一条直线,以中点为界,两边各代表参赛双方的位置,其中一只点亮的LED 指示球的当前位置,点亮的LED依此从左到右,或从右到左,其移动的速度应能调节。

3、 当“球”(点亮的那只LED)运动到某方的最后一位时,参赛者应能果断地按下位于自己一方的按钮开关, 即表示启动球拍击球。若击中,则球向相反方向移动;若未击中,则对方得1分。

4、 一方得分时,电路自动响铃3秒,这期间发球无效,等铃声停止后方能继续比赛。

5、 设置自动记分电路,甲、乙双方各用2位数码管进行记分显示,每计满21分为1局。

6、 甲、乙双方各设一个发光二极管,表示拥有发球权,每隔5次自动交换发球权,拥有发球权的一方发球 才有效。

教学提示:

1、 用双向移位寄存器的输出端控制LED显示来模拟乒乓球运动的轨迹,先点亮位于某一方的第1个LED,由 击球者通过按钮输入开关信号,实现移位方向的控制。

2、 也可用计数译码方式实现乒乓球运动轨迹的模拟,如利用加/减计数器的2个时钟信号实现甲、乙双方 的击球,由表示球拍的按钮产生计数时钟,计数器的输出状态经译码驱动LED发亮。

3、 任何时刻都保持一个LED发亮,若发亮的LED运动到对方的终点,但对方未能及时输入信号使其向相反 方向移动,即失去1分。

4、 控制电路决定整个系统的协调动作,必须严格掌握各信号之间的关系。

设计六 交通信号灯控制器

1、 设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、 黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。

2、 红、绿、黄发光二极管作信号灯,用传感器或逻辑开关作检测车辆是否到来的信号。

3、 主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干 道亮绿灯时,主干道亮红灯。

4、 主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、 25秒计时、显示电路。

5、 在每次由绿灯亮到红灯亮的转换过程中, 要亮5秒黄灯作为过渡, 使行驶中的车辆有时间停到禁行线外, 设立5秒计时、显示电路。

教学提示:

1、 主、支干道用传感器检测车辆到来情况,实验电路用逻辑开关代替。

2、 选择 1HZ 时钟脉冲作为系统时钟。

3、 45 秒、25 秒、5 秒定时信号可用顺计时,也可用倒计时,计时起始信号由主控电路给出,每当计满所 需时间,即向主控电路输出“时间到”信号,并使计数器清零,由主控电路启、闭三色信号灯或启动 另一计时电路。

4、 主控电路是核心,这是一个时序电路,其输入信号为:车辆检测信号(A,B, ;45 秒、25 秒、5 秒定 时信号(C,D,E) ,其输出状态控制相应的三色灯。主控电路可以由两个 JK 触发器和逻辑门构成, 其输出经译码后,控制主干道三色灯 R、G、Y 和支干道三色灯 r、g、y。

设计七 电子密码锁

1、 设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿 灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁;

2、 在锁的控制电路中储存一个可以修改的 4 位代码,当开锁按钮开关(可设置成 6 位至 8 位,其中实际 有效为 4 位,其余为虚设)的输入代码等于储存代码时,开锁;

3、 从第一个按钮触动后的 5 秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并 由扬声器发出持续 20 秒的报警信号。 教学提示:

1、 该题的主要任务是产生一个开锁信号,而开锁信号的形成条件是,输入代码和已设密码相同。实现这种功能的电路构思有多种,例如,用两片8位锁存器,一片存入密码,另一片输入开锁的代码,通过比 较的方式,若两者相等,则形成开锁信号。

2、 在产生开锁信号后,要求输出声、光信号,声音的产生由开锁信号触动扬声器工作,光信号由开锁信 号点亮 LED 指示灯;

3、 用按钮开关的第一个动作信号触发一个 5 秒定时器,若 5 秒内无开锁信号产生,让扬声器发出特殊音响,以示警告,并输出一个信号推动 LED 不断闪烁。

设计八 彩灯控制器

1、 设计一个彩灯控制器,使彩灯(LED 管)能连续发出四种以上不同的显示形式;

2、随着彩灯显示图案的变化,发出不同的音响声。 教学提示: 教学提示

1、彩灯显示的不同形式可由不同进制计数器驱动 LED 显示完成;

2、 音响由选择不同频率 CP 脉冲驱动扬声器形成。

设计九 脉冲按键电话显示器

1、 设计一个具有 8 位显示的电话按键显示器;

2、 能准确地反映按键数字;

3、 显示器显示从低位向高位前移,逐位显示按键数字,最低位为当前输入位;

4、 *设置一个“重拨”键,按下此键,能显示最后一次输入的电话号码;

5、 *挂机 2 秒后或按熄灭按键,熄灭显示器显示。

教学提示:

1、 利用中规模计数器的予置数功能可以实现不同的按键对应不同的数字;

2、 设置一个计数器记录按键次数,从而实现数字显示的移位。

设计十 简易电子琴

1、 设计一个简易电子琴;

2、 利用实验箱的脉冲源产生 1,2,3,……共 7 个或 14 个音阶信号;

3、 用指示灯显示节拍;

4、 *能产生颤音效果。

教学提示:

1、 各音阶信号由脉冲源经分频得到。

设计十一 出租车自动计费器

1、 设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示 总金额,最大值为 99.99 元;

2、 行车里程单价 1 元/公里,等候时间单价 0.5 元/10 分钟,起价 3 元(3 公里起价)均能通过人工输入。

3、 行车里程的计费电路将汽车行驶的里程数转换成与之成正比的脉冲数,然后由计数译码电路转换成收费金额,实验中以一个脉冲模拟汽车前进十米,则每 100 个脉冲表示 1 公里,然后用 BCD 码比例乘法 器将里程脉冲乘以每公里单价的比例系数,比例系数可由开关预置。例如单价是 1.0 元/公里,则脉冲当量为 0.01 元/脉冲。

4、 用 LED 显示行驶公里数,两个数码管显示收费金额。

教学提示:

1、 等候时间计费需将等候时间转换成脉冲个数,用每个脉冲表示的金额与脉冲数相乘即得计费数,例如 100 个脉冲表示 10 分钟,而 10 分钟收费 0.5 元,则脉冲当量为 0.05 元/脉冲,如果将脉冲当量设置成与行车里程计费相同(0.01 元/脉冲) ,则 10 分钟内的脉冲数应为 500 个。

2、 用 LED 显示等候时间,两个数码管表示等候时间收费金额。

3、 用加法器将几项收费相加,P=P1+P2+P3,

4、 P1 为起价,P2 为行车里程计费,P3 为等候时间计费,用两个数码管表示结果。

设计十二 洗衣机控制器

1、 设计一个电子定时器,控制洗衣机作如下运转:定时启动→正转 20 秒→暂停 10 秒→反转 20 秒→暂停 10 秒→定时未到回到“正转 20 秒→暂停 10 秒→……” ,定时到则停止;

2、 若定时到,则停机发出音响信号;

3、 用两个数码管显示洗涤的预置时间 (分钟数) 按倒计时方式对洗涤过程作计时显示, , 直到时间到停机; 洗涤过程由“开始”信号开始;

4、三只 LED 灯表示“正转”、“反转”、“暂停”三个状态。

教学提示:

1、 设计 20 秒、10 秒定时电路。

2、 电路输出为“正转”、“反转”、“暂停”三个状态。

3、 按照设计要求,用定时器的“时间到”信号启动相应的下一个定时器工作,直到整个过程结束。 13. 可控脉冲发生器

内容及要求:

实现周期、占空比均可调的脉冲发生器。 (1)采用1khz的工作时钟;

(2)脉冲周期0.5s~6s,占空比10%~90%; (3)可初始化:周期2.5s,占空比50%;

14. 8bit序列检测器

内容及要求:

完成从2bit输入码流中检测特定8bit数据的电路,具体要求如下: (1)输入2bit码流,msb在前,4个周期的数据组成一个结构化字节; (2)检测序列0x7e;

(3)成功检测到特定序列后,点亮一个LED;

(4)操作中采用开关作为数据输入,按键作为有效数据指示信号; (5)工作时钟选择1k即可;

(6)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验证等。

15人表决电路设计

内容及要求

完成7人表决电路设计,LED灯表示通过、否决。 (1)开关表示赞成与否,1~8编号(1赞成); (2)LED显示表决的结果;

(3)数码管分别显示赞成、否决的人数; (4)工作时钟100Hz即可;

(5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、下载验证等。

16排队电路设计

内容及要求

单窗口排队机电路,给每个新来者编号,并计算队伍长度。

(1)进队、离队两个信号作为输入,当前服务号码和队长各由4个数码管显示; (2)初始时队长0,进队号码由1顺序递增,输出编号; (3)有人入队,长度加,有人离队长度减; (4)工作时钟适当即可;

(5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、下载验证等。

17算术计算器电路设计

内容及要求

实现一位十进制数的加减乘除元算,结果显示在数码管上。 (1)键盘作为运算数据输入; (2)不同位置的按键代表运算符; (3)2个数码管显示运算结果; (4)支持连续运算;

(5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验证等。

18电梯控制器电路设计

内容及要求

设计智能电梯运行控制电路,板级验证用按键/开关与数码管/LED表示输入与输出。 (1)楼层4层;

(2)梯内显示当前楼层、梯外各层显示当前电梯所在楼层;

(3)1层只有向上按钮,4层只有向下按钮,其余各层均有上下按钮; (4)电梯具有锁定功能(梯内);

(5)超重/超员报警(电路有空载、超重、乘客入梯指示输入); (6)采用Altera的cyclone器件,目标板soc实验室开发板; (7)设计相应的调度算法,使运营成本最低;

(8)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、后仿真、下载验证等。 19 LCD模块接口电路设计

内容及要求

实现NiosII驱动240X128LCD显示。

(1)T6963C控制器,显示模块与CPU的接口采用标准的8位微处理器接口,即D0~D

7、nRD、nWR、nCE以及C/D信号,当C/D为‘1’时,选中指令通道,数据总线上的数据均为指令;当C/D为‘0’时,选中数据通道,数据总线上的数据为写入LCD或读出的有效数据。8bit输入,串行输出,伴有串行信号msb指示;

(2)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、下载验证等。

20 音频信号存储与播放

内容及要求

(1)4.096s音频信号,8kA/D转换为8bit数据,共32768字节,存储播放; (2)音频数据自行解决,可采用语音传感器采集; (3)扬声器播放;

(4)可以部分播放,时长可控;

(5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、下载验证等。

21 脉宽调制电路设计

内容及要求 脉宽调制电路。 (1)脉宽可调; (2)周期可调;

(3)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验证等。

22. 计时器电路设计

内容及要求

设计时、分、秒计时器电路,数码管显示输出。 (1)输入10K精准时钟;

(2)具有时、分、秒计时功能,24小时制; (3)时分秒均可手动调整校正;

(4)计时信息有6个数码管显示输出,格式hhmmss;或者8个数码管显示输出,格式hh:mm:ss; (5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验证等。

23 FIR滤波器电路设计

内容及要求

完成有限冲激响应低通数字滤波器设计。 (1)滤波频率20kHz;

(2)增益不作要求,抽头系数自行计算; (3)同步电路设计;

(4)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验证等。

24自动售货机电路

内容及要求

设计自动售货机电路,要求如下:

(1)待售物品价格1元、2元、3元、五元; (2)只接受1元、5元、10元币值; (3)机内存有1元零钱无限;

(4)投入钱币之前认为售货机为空闲状态;投入钱币后需要按下物品标签吐出商品; (5)自动找零;

(6)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验证等。 25. 排队电路设计

内容及要求

单窗口排队机电路,给每个新来者编号,并计算队伍长度。

(1)进队、离队两个信号作为输入,当前服务号码和队长各由4个数码管显示; (2)初始时队长0,进队号码由1顺序递增,输出编号; (3)有人入队,长度加,有人离队长度减; (4)工作时钟适当即可;

(5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、下载验证等。

26信号发生器系统设计

内容及要求

设计一个简易信号发生器。要求能够产生正弦波、方波、锯齿波和三角波信号,并能够实现调频功能;最终能在示波器上观察到各种波形。

27 汽车尾灯控制器设计

内容及要求

根据现代交通规则,汽车尾灯控制器应满足以下基本要求: (1)汽车正常使用时指示灯不亮; (2)汽车右转时,右侧的一盏灯亮; (3)汽车左转时,左侧的一盏灯亮;

(4)汽车刹车时,左右两侧的指示灯同时亮;

(5)汽车夜间行驶时,左右两侧的指示灯同时一直亮,供照明使用。

28 简易音乐播放器

内容及要求

产生音乐的两个因素是音乐频率和音乐的持续时间,以纯硬件完成演奏电路比利用微处理器(CPU)来实现乐曲演奏要复杂的多如果不借助于功能强大的EDA工具和硬件描述语言,凭借传统的数字逻辑技术,即使最简单的演奏电路也难以实现。根据设计要求,乐曲硬件演奏电路系统主要由数控分频器和乐曲存储模块组成。数控分频器对FPGA的基准频率进行分频,得到与各个音阶对应的频率输出。乐曲存储模块产生节拍控制和音阶选择信号,即在此模块中可存放一个乐曲曲谱真值表,由一个计数器来控制此真值表的输出,而由计数器的计数时钟信号作为乐曲节拍控制信号。 29自动售邮票机

内容及要求

设计一个自动售邮票机,用开关电平信号模拟投币过程,每次投一枚硬币,但可以连续投入数枚硬币。机器能自动识别硬币金额,最大为1元,最小为5角。设定票价为2.5元,每次售一张票。

购票时先投入硬币,当投入的硬币总金额达到或超过票的面值时,机器发出指示,这时可以按取票键取出票。如果所投硬币超过票的面值则会提示找零钱,取完票以后按找零键则可以取出零钱。

30看门狗设计

内容及要求

1.看门狗电路时电子系统,是嵌入式系统中常用的抗干扰措施之一,其作用是在程序“跑 飞”后强制系统复位。

2.看门狗实际上是一个计数器,它需要在一定时间内被清零,否则,看门狗将产生一个复位信号使系统重新启动。 3. 系统主要由3个功能模块构成: 1) 计数比较模块; 2) 分频模块; 3) 复位计时模块

第4篇:EDA课程设计题目_图文.

课程设计题目

设计一数字式竞赛抢答器

1、设计一个可容纳6 组(或4 组参赛的数字式抢答器,每组设一个按钮,供抢答使用。

2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。

3、设置一个主持人“复位”按钮。

4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,扬声器发出2~3 秒的音响。

5、设置一个计分电路,每组开始预置100 分,由主持人记分,答对一次加10 分,答错一次减10 分。教学提示:

1、此设计问题的关键是准确判断出第一抢答者并将其锁存,实现的方法可使用触发器或锁存器,在得到第一信号后将输入封锁,使其它组的抢答信号无效。

2、形成第一抢答信号后,用编码、译码及数码显示电路显示第一抢答者的组别,用第一抢答信号推动扬声器发出音响。

3、计分电路采用十进制加/减计数器、数码管显示,由于每次都是加/减10 分,所以个位始终为零,只要十位、百位进行加/减运算即可。

设计二数字钟

1、设计一个能显示1/10秒、秒、分、时的12小时数字钟。

2、熟练掌握各种计数器的使用。

3、能用计数器构成十进制、六十进制、十二进制等所需进制的计数器。

4、能用低位的进位输出构成高位的计数脉冲。

教学提示:

1、时钟源使用频率为0.1Hz的连续脉冲。

2、设置两个按钮,一个供“开始”及“停止”用,一个供系统“复位”用。

3、时钟显示使用数码管显示。

4、“时显示”部分应注意12点后显示1点。

5、注意各部分的关系,由低位到高位逐级设计、调试。 设计三数字频率计

1、设计一个能测量方波信号的频率的频率计。

2、测量的频率范围是0?999999Hz。

3、结果用十进制数显示。 教学提示:

1、脉冲信号的频率就是在单位时间内所产生的脉冲个数,其表达式为,f为被测信号的频率,N为计数器所累计的脉冲个数,T为产生N个脉冲所需的时间。所以,在1秒时间内计数器所记录的结果,就是被测信号的频率。

2、被测频率信号取自实验箱晶体振荡器输出信号,加到主控门的输入端。

3、再取晶体振荡器的另一标准频率信号,经分频后产生各种时基脉冲:1ms,10ms,0.1s,1s等,时基信号的选择可以控制,即量程可以改变。

4、时基信号经控制电路产生闸门信号至主控门,只有在闸门信号采样期间内(时基信号的一个周期,输入信号才通过主控门。

5、f=N/T,改变时基信号的周期T,即可得到不同的测频范围。

6、当主控门关闭时,计数器停止计数,显示器显示记录结果,此时控制电路输出一个置零信号,将计数器和所有触发器复位,为新的一次采样做好准备。

7、改变量程时,小数点能自动移位。 设计四拔河游戏机

1、设计一个能进行拔河游戏的电路。

2、电路使用15个(或9个发光二极管,开机后只有中间一个发亮,此即拔河的中心点。

3、游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。

4、亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。

5、用数码管显示获胜者的盘数。 教学提示:

1、按钮信号即输入的脉冲信号,每按一次按钮都应能进行有效的计数。

2、用可逆计数器的加、减计数输入端分别接受两路脉冲信号,可逆计数器原始输出状态为0000,经译码器输出,使中间一只二极管发亮。

3、当计数器进行加法计数时,亮点向右移;进行减法计数时,亮点向左移。

4、由一个控制电路指示谁胜谁负,当亮点移到任一方终端时,由控制电路产生一个信号,使计数器停止计数。

5、将双方终端二极管“点亮”信号分别接两个计数器的“使能”端,当一方取胜时,相应的计数器进行一次计数,这样得到双方取胜次数的显示。

6、设置一个“复位”按钮,使亮点回到中心,取胜计数器也要设置一个“复位”按钮,使之能清零。

设计五乒乓球比赛游戏机

1、设计一个由甲、乙双方参赛,有裁判的3人乒乓球游戏机。

2、用8个(或更多个LED排成一条直线,以中点为界,两边各代表参赛双方的位置,其中一只点亮的LED 指示球的当前位置,点亮的LED依此从左到右,或从右到左,其移动的速度应能调节。

3、当“球”(点亮的那只LED运动到某方的最后一位时,参赛者应能果断地按下位于自己一方的按钮开关,即表示启动球拍击球。若击中,则球向相反方向移动;若未击中,则对方得1分。

4、一方得分时,电路自动响铃3秒,这期间发球无效,等铃声停止后方能继续比赛。

5、设置自动记分电路,甲、乙双方各用2位数码管进行记分显示,每计满21分为1局。

6、甲、乙双方各设一个发光二极管,表示拥有发球权,每隔5次自动交换发球权,拥有发球权的一方发球才有效。

教学提示:

1、用双向移位寄存器的输出端控制LED显示来模拟乒乓球运动的轨迹,先点亮位于某一方的第1个LED,由击球者通过按钮输入开关信号,实现移位方向的控制。

2、也可用计数译码方式实现乒乓球运动轨迹的模拟,如利用加/减计数器的2个时钟信号实现甲、乙双方的击球,由表示球拍的按钮产生计数时钟,计数器的输出状态经译码驱动LED发亮。

3、任何时刻都保持一个LED发亮,若发亮的LED运动到对方的终点,但对方未能及时输入信号使其向相反方向移动,即失去1分。

4、控制电路决定整个系统的协调动作,必须严格掌握各信号之间的关系。 设计六交通信号灯控制器

1、设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、

黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。

2、红、绿、黄发光二极管作信号灯,用传感器或逻辑开关作检测车辆是否到来的信号。

3、主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。

4、主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、25秒计时、显示电路。

5、在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡,使行驶中的车辆有时间停到禁行线外,设立5秒计时、显示电路。

教学提示:

1、主、支干道用传感器检测车辆到来情况,实验电路用逻辑开关代替。

2、选择1HZ 时钟脉冲作为系统时钟。

3、45 秒、25 秒、5 秒定时信号可用顺计时,也可用倒计时,计时起始信号由主控电路给出,每当计满所需时间,即向主控电路输出“时间到”信号,并使计数器清零,由主控电路启、闭三色信号灯或启动另一计时电路。

4、主控电路是核心,这是一个时序电路,其输入信号为:车辆检测信号(A,B,;45 秒、25 秒、5 秒定时信号(C,D,E,其输出状态控制相应的三色灯。主控电路可以由两个JK 触发器和逻辑门构成,其输出经译码后,控制主干道三色灯R、G、Y 和支干道三色灯r、g、y。

设计七电子密码锁

1、设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁;

2、在锁的控制电路中储存一个可以修改的4 位代码,当开锁按钮开关(可设置成6 位至8 位,其中实际有效为4 位,其余为虚设的输入代码等于储存代码时,开锁;

3、从第一个按钮触动后的5 秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20 秒的报警信号。

教学提示:

1、该题的主要任务是产生一个开锁信号,而开锁信号的形成条件是,输入代码和已设密码相同。实现这种功能的电路构思有多种,例如,用两片8位锁存器,一片存入密码,另一片输入开锁的代码,通过比较的方式,若两者相等,则形成开锁信号。

2、在产生开锁信号后,要求输出声、光信号,声音的产生由开锁信号触动扬声器工作,光信号由开锁信号点亮LED 指示灯;

3、用按钮开关的第一个动作信号触发一个5 秒定时器,若5 秒内无开锁信号产生,让扬声器发出特殊音响,以示警告,并输出一个信号推动LED 不断闪烁。

设计八彩灯控制器

1、设计一个彩灯控制器,使彩灯(LED 管能连续发出四种以上不同的显示形式;

2、随着彩灯显示图案的变化,发出不同的音响声。教学提示: 教学提示

1、彩灯显示的不同形式可由不同进制计数器驱动LED 显示完成;

2、音响由选择不同频率CP 脉冲驱动扬声器形成。 设计九脉冲按键电话显示器

1、设计一个具有8 位显示的电话按键显示器;

2、能准确地反映按键数字;

3、显示器显示从低位向高位前移,逐位显示按键数字,最低位为当前输入位;

4、*设置一个“重拨”键,按下此键,能显示最后一次输入的电话号码;

5、*挂机2 秒后或按熄灭按键,熄灭显示器显示。 教学提示:

1、利用中规模计数器的予置数功能可以实现不同的按键对应不同的数字;

2、设置一个计数器记录按键次数,从而实现数字显示的移位。 设计十简易电子琴

1、设计一个简易电子琴;

2、利用实验箱的脉冲源产生1,2,3,……共7 个或14 个音阶信号;

3、用指示灯显示节拍;

4、*能产生颤音效果。 教学提示:

1、各音阶信号由脉冲源经分频得到。

设计十一出租车自动计费器

1、设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示总金额,最大值为99.99 元;

2、行车里程单价1 元/公里,等候时间单价0.5 元/10 分钟,起价3 元(3 公里起价均能通过人工输入。

3、行车里程的计费电路将汽车行驶的里程数转换成与之成正比的脉冲数,然后由计数译码电路转换成收费金额,实验中以一个脉冲模拟汽车前进十米,则每100 个脉冲表示1 公里,然后用BCD 码比例乘法器将里程脉冲乘以每公里单价的比例系数,比例系数可由开关预置。例如单价是 1.0 元/公里,则脉冲当量为0.01 元/脉冲。

4、用LED 显示行驶公里数,两个数码管显示收费金额。 教学提示:

1、等候时间计费需将等候时间转换成脉冲个数,用每个脉冲表示的金额与脉冲数相乘即得计费数,例如100 个脉冲表示10 分钟,而10 分钟收费0.5 元,则脉冲当量为0.05 元/脉冲,如果将脉冲当量设置成与行车里程计费相同(0.01 元/脉冲,则10 分钟内的脉冲数应为500 个。

2、用LED 显示等候时间,两个数码管表示等候时间收费金额。

3、用加法器将几项收费相加,P=P1+P2+P3,

4、P1 为起价,P2 为行车里程计费,P3 为等候时间计费,用两个数码管表示结果。

设计十二洗衣机控制器

1、设计一个电子定时器,控制洗衣机作如下运转:定时启动→正转20 秒→暂停10 秒→反转20 秒→暂停10 秒→定时未到回到“正转20 秒→暂停10 秒→……” ,定时到则停止;

2、若定时到,则停机发出音响信号;

3、用两个数码管显示洗涤的预置时间(分钟数按倒计时方式对洗涤过程作计时显示,,直到时间到停机;洗涤过程由“开始”信号开始;

4、三只LED 灯表示“正转”、“反转”、“暂停”三个状态。 教学提示:

1、设计20 秒、10 秒定时电路。

2、电路输出为“正转”、“反转”、“暂停”三个状态。

3、按照设计要求,用定时器的“时间到”信号启动相应的下一个定时器工作,直到整个过程结束。

13. 可控脉冲发生器 内容及要求: 实现周期、占空比均可调的脉冲发生器。 (1采用1khz的工作时钟; (2脉冲周期0.5s~6s,占空比10%~90%; (3可初始化:周期2.5s,占空比50%; 14. 8bit序列检测器 内容及要求:

完成从2bit输入码流中检测特定8bit数据的电路,具体要求如下: (1输入2bit码流,msb在前,4个周期的数据组成一个结构化字节; (2检测序列0x7e; (3成功检测到特定序列后,点亮一个LED; (4操作中采用开关作为数据输入,按键作为有效数据指示信号; (5工作时钟选择1k即可; (6完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验

证等。

15人表决电路设计 内容及要求

完成7人表决电路设计,LED灯表示通过、否决。 (1开关表示赞成与否,1~8编号(1赞成; (2LED显示表决的结果; (3数码管分别显示赞成、否决的人数; (4工作时钟100Hz即可; (5完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿

真、下载验证等。

16排队电路设计 内容及要求

单窗口排队机电路,给每个新来者编号,并计算队伍长度。

(1进队、离队两个信号作为输入,当前服务号码和队长各由4个数码管显示; (2初始时队长0,进队号码由1顺序递增,输出编号; (3有人入队,长度加,有人离队长度减; (4工作时钟适当即可; (5完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿

真、下载验证等。 17算术计算器电路设计 内容及要求

实现一位十进制数的加减乘除元算,结果显示在数码管上。 (1键盘作为运算数据输入; (2不同位置的按键代表运算符; (32个数码管显示运算结果; (4支持连续运算; (5完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验

证等。

18电梯控制器电路设计 内容及要求

设计智能电梯运行控制电路,板级验证用按键/开关与数码管/LED表示输入与输出。

(1楼层4层; (2梯内显示当前楼层、梯外各层显示当前电梯所在楼层; (31层只有向上按钮,4层只有向下按钮,其余各层均有上下按钮; (4电梯具有锁定功能(梯内; (5超重/超员报警(电路有空载、超重、乘客入梯指示输入; (6采用Altera的cyclone器件,目标板soc实验室开发板; (7设计相应的调度算法,使运营成本最低; (8完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、后仿真、

下载验证等。

19 LCD模块接口电路设计 内容及要求

实现NiosII驱动240X128LCD显示。

(1T6963C控制器,显示模块与CPU的接口采用标准的8位微处理器接口,即D0~D

7、nRD、nWR、nCE

以及C/D信号,当C/D为„1‟时,选中指令通道,数据总线上的数据均为指令;当C/D为„0‟时,选中数据通道,数据总线上的数据为写入LCD或读出的有效数据。8bit输入,串行输出,伴有串行信号msb指示; (2完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿

真、下载验证等。 20 音频信号存储与播放 内容及要求

(14.096s音频信号,8kA/D转换为8bit数据,共32768字节,存储播放; (2音频数据自行解决,可采用语音传感器采集; (3扬声器播放; (4可以部分播放,时长可控; (5完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿

真、下载验证等。 21 脉宽调制电路设计 内容及要求 脉宽调制电路。 (1脉宽可调; (2周期可调;

(3完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验

证等。

22. 计时器电路设计 内容及要求

设计时、分、秒计时器电路,数码管显示输出。 (1输入10K精准时钟; (2具有时、分、秒计时功能,24小时制; (3时分秒均可手动调整校正; (4计时信息有6个数码管显示输出,格式hhmmss;或者8个数码管显示输出,格式hh:mm:ss; (5完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验

证等。

23 FIR滤波器电路设计 内容及要求

完成有限冲激响应低通数字滤波器设计。 (1滤波频率20kHz; (2增益不作要求,抽头系数自行计算; (3同步电路设计;

(4完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验

证等。

24自动售货机电路 内容及要求

设计自动售货机电路,要求如下: (1待售物品价格1元、2元、3元、五元; (2只接受1元、5元、10元币值; (3机内存有1元零钱无限; (4投入钱币之前认为售货机为空闲状态;投入钱币后需要按下物品标签吐出商品; (5自动找零; (6完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验

证等。

25. 排队电路设计 内容及要求

单窗口排队机电路,给每个新来者编号,并计算队伍长度。

(1进队、离队两个信号作为输入,当前服务号码和队长各由4个数码管显示; (2初始时队长0,进队号码由1顺序递增,输出编号;

(3有人入队,长度加,有人离队长度减; (4工作时钟适当即可; (5完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿

真、下载验证等。

全勤“√”迟到“×”请假“⊙”早退“*”

1引言

EDA是英文"Electronics Design Automation(电子设计自动化"的缩写。EDA技术是近几年迅速发展起来的计算机软件、硬件和微电子交叉的现代电子设计学科,是现代电子工程领域的一门新技术。它是以可编程逻辑器件(PLD为物质基础,以计算机为工作平台,以EDA工具软件为开发环境,以硬件描述语言(HDL作为电子系统功能描述的主要方式,以电子系统设计为应用方向的电子产品自动化设计过程。

VHDL是常用的HDL,它的英文全名是VHSIC"Very High Speed Integrated Circuit Handware Description Language(高速集成电路硬件描述语言",VHDL语言具有很强的电路描述和建模能力,能简化硬件设计任务,提高设计的效率和可靠性。

Quartus II是Alters提供的FPGA"Field Programmable Gate Array(现场可编程门阵列"和CPLD "Complex programmable Logic Device(复杂可编程逻辑器件"的开发集成环境,Alters是世界最大可编程逻辑器件供应商之一。Alters的Quartus II提供了完整的多平台设计环境,能满足各种特定设计的需要,也是单芯片可编程系统((SOPC设计的综合性环境和SOPC开发的基本设计工具,并为Alters DSP开发包进行系统模型设计提供了集成综合环境。

2设计原理

本文通过利用数控分频器设计硬件乐曲演奏电路(电子琴的设计实例,使读者初步了解 VHDL硬件描述语言和Quartus II开发环境。本设计是在Alters的FPGA芯片CycloneEP1C6和杭州康芯电子有限公司生产的GW48系列EDA实验系统基础上完成的,硬件测试用实验系统的电路模式3,特点是有8个琴键式键控发生器,可用于设计八音琴等电路系统,也可以产生时间长度可控的单次脉冲。该电路有8个译码输出显示的数码管,以显示目标芯片的32位输出信号,且8个发光管也能显示目标器件的8位输出信号。时钟为SOMHz.输出接扬声器。

主系统TONETABA.VHD和SPEAKER.VHD 2 个模块组成: (1音符的频率可以由SPEAKER获得,这是一个数控分频器。由其clk端输人一具有较高频率(这里是12MHZ的信号,通过SPEAKERA分频后由SPKOUT输出。

(2音符的持续时间须根据乐曲的速度及每个音符的节拍数决定,模块TONETABA的功能是为SPEAKERA提供决定所发音的分频预置值,而此数在SPEAKERA输人口停留的时间即为此音符的节拍值。

3 逻辑时序仿真 4 结论 本文作者创新点是基于 FPGA 完成乐曲演奏电路,在 Altera Quartus II 环境下,用 VHDL 语言实现电子琴 演奏音乐的设计实例,设计者根据 VHDL 的语法规则,对系统的逻辑行为进行描述,然后通过综合工具进行电路 结构的综合、编译、优化,用仿真,可在短时间内设计出高效、稳定、符合设计要求的电路。本设计还可扩展 为多个音阶的电子琴,并利用 FPGA 内部的 ROM 装上多首歌曲,可用手动或自动选择歌曲。与利用微处理器(CPU 和 MCU来实现乐曲演奏相比,可以很简单的设计出多种演奏电路具有高性能,高可靠性等优点,在性能价格比 方面优于同类产品,有着广泛的应用前景和使用价值。

第5篇:单片机课程设计要求及参考题目

《单片机原理与应用》课程设计要求

一、目的:

本课程设计是《单片机原理与应用》课程的综合、设计性实验,作为课堂教学和课内正常实验的补充和提高。通过对《单片机原理与应用》课程的学习,学生已初步掌握51单片机的基本原理,以及并行口、串行口、中断、定时等基本原理及应用,课内实验主要以实验指导书为参考,难以充分发挥学生自主设计动手能力。通过《单片机原理与应用》课程设计,加强学生自主学习,巩固学习成果,提高学生综合应用单片机技术的实践能力和创造思维。为后续相关专业课程学习打好基础,培养学生专业知识的综合应用能力,与就业需求相接轨。

二、实验安排:

课程设计内容和要求的安排与讲解在课程设计之初进行。 上机机时安排:共32个课内机时,不足部分自行安排。

三、课程设计说明:

本次课程设计平台使用课程单片机开发实验箱(或学生自购单片机开发板)+实验室PC.,对于有特殊要求的学生,可以自行购买元件,焊接电路板,达到实验要求。

课程设计结束后,每个小组提交课程设计成果如下:  系统完成后,要求演示效果,演示时采用答辩形式,由各小组同学边演示边讲解思路。

 课程设计报告一份,报告中可不包括源代码(程序代码刻录光盘)。

四、成绩评分内容 课设考勤情况 系统运行效果

系统讲解和问题回答情况 设计报告完成情况。

五、参考题目

以下题目根据难度,分为ABC三个等级。请自行选择。 A级满分为100分。 B级满分为90分。 C级满分为80分。 1.交通灯(C) 要求利用实验箱上的LED灯模拟十字路口交通灯

(1)东西方向显示绿灯时,南北方向显示红灯,持续10秒钟后,绿灯变为黄灯并持续3秒钟;

(2)然后东西方向显示红灯,南北方向显示绿灯,持续10秒钟后,绿灯变黄灯并持续3秒钟,如此反复。 2.电子显示屏(B)

要求用一个按键控制实验箱上的点阵模块。按下按键后,在显示屏上轮流显示“文华学院”字样;再次按键后,显示屏上无任何显示。 3.电子数字钟(A)

要求利用实验箱上的数码管模拟电子数字钟。显示时、分、秒,并且随时间跳变。在整点时,启动蜂鸣器。 4.模拟量采集系统(A) 要求利用实验箱上的传感器采集模拟量。 (1) 利用温度传感器采集温度,进行AD转换。 (2) 将采集到的温度显示在数码管上。 5.双机串行通信(C) 要求采用串行通信方式1,波特率为600,甲单片机交替发送55H, CCH,乙单片机接收,并将接收到的数据显示在数码管上。 6.矩阵键盘(C) 要求利用实验箱上的矩阵键盘输入1-8的任意字符,在数码管上依次显示出来。 7.抢答器(B) 要求制作一个4人抢答器。无人抢答时,4个灯跑马循环,谁先按下,相对应的灯亮起,同时蜂鸣器发声。 8.波形发生器(B) 要求产生方波、锯齿波、三角波、正弦波信号。 (1)不同的波形用不同的符号显示在一个数码管上。 (2)用4个数码管显示幅值。

9.音乐盒(A) 要求按下实验箱上的键盘,利用蜂鸣器产生1-i各种音阶,演奏出不同的音乐。 10.液晶屏显示(A)

要求控制LCD液晶屏,在屏幕上显示文字。并且操作按键时,屏幕上显示按键的编号。 11.红外遥控设计(A) 要求利用红外遥控,向单片机传输信号。当遥控按下具体数字时,单片机将数字显示在数码管上。 12.学生自主设计(A)

学生自己提出设计思路,经老师认可后,开始进行设计,并达到预期目标。

《单片机课程设计》报告

题目: 专业年级:

学号:

姓名: 指导教师: 同组设计者:

20 年 月 日

《课程设计报告》主要内容

[设计题目]

[设计要求] 描述选题目内容,简述要求完成的功能 [开发工具] 包括硬件和软件环境 [硬件设计]

1. 电路原理图 2. 电路设计说明 [软件设计] 1. 软件设计流程 2. 重要程序代码 [系统调试] 说明上机调试时碰到的问题及解决方法。 [心得及体会] 此部分说明通过本次课程设计所得的收获及体会。 [参考文献] (至少3篇)

第6篇:EDA课程设计论文

目录

一、 摘要

二、 概述

2.1目的与要求 2.2实验仪器与设备 2.3实验注意事项 2.4设计环境

三、 实验内容

四、4位加法器设计实现过程

4.1元件选择

4.2编辑半加器的原理图 4.3编译设计图形文件 4.4生成元件符号 4.5功能仿真设计文件 ① 建立波形文件 ② 输入信号节点

③ 设置波形参量

④ 设定仿真时间宽度 ⑤ 加入输入信号 ⑥ 波形文件存盘 ⑦ 进行仿真

4.6 1位全加器的实现过程 4.7 四位加法器实现过程

五、 收获与心得体会

一、 摘要

随着电子技术和计算机技术的飞速发展,电子线路的设计工作也日益显得重要。经过人工设计、制作实验板、调试再修改的多次循环才定型的传统产品设计方法必然被计算机辅助设计所取代,因为这种费时费力又费资源的设计调试方法既增加了产品开发的成本,又受到实验工作场地及仪器设备的限制。

20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。

EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。

利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。

现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。

二、概述

2.1目的与要求

1、学习MAX+plusⅡ工具软件的基本功能和使用方法。

2、学习使用原理图输入法设计半加器,掌握原理图输入法的操作步骤。

3、初步掌握设计电路原理图的编辑、编译、仿真等操作方法。 每次实验前,学生须仔细阅读本实验指导书的相关内容:

1)明确实验目的和实验内容; 2)明确实验原理与步骤;

3)复习与实验内容有关的理论知识;

4)预习仪器设备的使用方法、操作规程及注意事项。

2.2实验仪器与设备

1、PC机

2、MAX+plus II 软件

2.3实验注意事项

1.实验开始前,应先检查本人的计算机是否安装相关软件,了解其软件的使用方法和要求。

2.实验时每个同学应单独设计程序、操作、记录实验结果等,使每个同学受到全面训练。

3.测量数据或观察现象要认真细致,实事求是。使用计算机要符合操作规程,切勿随便重启频繁开关计算机。。

4.未经许可,不得动用其它人的仪器设备或计算机等物。

5.实验结束后,实验记录交指导教师查看并认为无误后,离开机房。最后,应清理计算机,备份编写程序。

6.爱护公物,发生仪器设备等损坏事故时,应及时报告指导教师,按有关实验管理规定处理。

7.自觉遵守学校和实验室管理的其它有关规定。

2.4设计环境

QuartusII design

于system-on-a-programmable-chip (SOPC)的设计环境。 QuartusII design 提

供完善的 timing closure 和 LogicLock™ 基于块的设计流程。QuartusII design是唯一一个包括以timing closure 和 基于块的设计流为基本特征的programmable logic device (PLD)的软件。 Quartus II 设计软件改进了性能、提升了功能性、解决了潜在的设计延迟等,在工业领域率先提供FPGA与mask-programmed devices开发的统一工作流程。

Altera Quartus II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。

三、 实验内容

以Altera公司的MAX+plus II为工具软件,采用原理图输入法设计半加器h_adder,生成元件符号,并仿真验证设计结果。

四、4位加法器设计实现过程

4.1元件选择

在MAX+plus II工具软件的元件库中已经有与门、或门、与非门和异或门等元件,在设计中可直接调用这些元件,实现电路设计。

图1 半加器原理图

在元件选择对话框的符号库“Symbol Libraries”栏目中,用鼠标双击基本元件库文件夹“d:maxplus2max2libprim”后,在符号文件“Symbol Files”栏目中列出了该库的基本元件的元件名,例如and2(二输入端的与门)、xor(异或门)、VCC(电源)、input(输入)和output(输出)等。在元件选择对话框的符号名“Symbol Name”栏目内直接输入xor,或者在“Symbol Files”栏目中,用

鼠标双击“xor”元件名,即可得到异或门的元件符号。用上述同样的方法也可以得到其他元件符号。

4.2编辑半加器的原理图

半加器逻辑电路图如图1所示,它由1个异或门和1个与门构成,a、b是输入端,SO是和输出端,CO是向高位的进位输出端。

在元件选择对话框的符号名“Symbol Name”栏目内直接输入xor,或者在“Symbol Files”栏目中,用鼠标双击“xor”元件名,即可得到异或门的元件符号。用上述同样的方法也可以得到与门及输入端和输出端的元件符号。用鼠标双击输入或输出元件中原来的名称,使其变黑后就可以进行名称修改,用这种方法把两个输入端的名称分别更改为“a”和“b”,把两个输出端的名称分别更改为“SO”和“CO”,然后按照图1所示的半加器逻辑电路的连接方式,用鼠标将相应的输入端和输出端及电路内部连线连接好,并以“h_adder.gdf”(注意后缀是.gdf)为文件名,存在自己建立的工程目录d:myedamygdf内。进行存盘操作时,系统在弹出的存盘操作对话框中,自动保留了上一次存盘时的文件名和文件目录,不要随意单击“OK”按钮结束存盘,一定要填入正确的文件名并选择正确的工程目录后,才能单击“OK”按钮存盘,这是上机实验时最容易忽略和出错的地方。

4.3编译设计图形文件

设计好的图形文件一定要通过MAX+plus II的编译。在MAX+plus II集成环境下,执行“MAX+plus”菜单下的“Compiler”命令,在弹出的编译对话框中单击“Start”按钮,即可对h_adder.gdf文件进行编译。

在编译中,MAX+plus II自动完成编译网表提取(Compiler Netlist Extractor)、数据库建立(Database Builder)、逻辑综合(Logic Synthesizer)、逻辑分割(Partitioner)、适配(Fitter)、延时网表提取(Timing SNF Extractor)和编程文件汇编(Assembler)等操作,并检查设计文件是否正确。存在错误的设计文件是不能将编译过程进行到底的,此时计算机会中断编译,并在编译(Compiler)对话框中指出错误类型和个数。

4.4生成元件符号

在MAX+plus II集成环境下,执行“File”菜单下的“Create Default Symbol”

命令,将通过编译的GDF文件生成一个元件符号,并保存在工程目录中。这个元件符号可以被其他图形设计文件调用,实现多层次的系统电路设计。

4.5功能仿真设计文件

仿真,也称为模拟(Simulation);是对电路设计的一种间接的检测方法。对电路设计的逻辑行为和功能进行模拟检测,可以获得许多设计错误及改进方面的信息。对于大型系统的设计,能进行可靠、快速、全面的仿真尤为重要。

① 建立波形文件

进行仿真时需要先建立仿真文件。在Max+p1us II环境执行“File”的“New”命令,再选择弹出的对话框中的Waveform Editor fi1e项,波形编辑窗口即被打开。

② 输入信号节点

在波形编辑方式下,执行“Node”的“Nodes from SNF”命令,弹出输入节点“Enter Nodes from SNF”对话框,在对话框中首先单击“List”按钮,这时在对话框左边的“Available Nodes&Groups” (可利用的节点与组)框中将列出该设计项目的全部信号节点。若在仿真中只需要观察部分信号的波形,则首先用鼠标将选中的信号名点黑,然后单击对话框中间的“=>”按钮,选中的信号即进入到对话框右边的“Selected Nodes&Groups”(被选择的节点与组)框中。如果需要删除“被选择的节点与组”框中的节点信号,也可以用鼠标将其名称点黑,然后单击对话框中间的“<="按钮。节点信号选择完毕后,单击“OK”按钮即可。

③ 设置波形参量

在波形编辑对话框中调入了半加器的所有节点信号后,还需要为半加器输入信号a和b设定必要的测试电平等相关的仿真参数。如果希望能够任意设置输入电平位置或设置输入时钟信号的周期,可以在Options选项中,取消网格对齐Snap to Grid的选择(取消钩)。

④ 设定仿真时间宽度

在仿真对话框,默认的仿真时间域是1μS。如果希望有足够长的时间观察仿真结果,可以选择“File”命令菜单中的“End Time”选项,在弹出的“End Time”对证框中,填入适当的仿真时间域(如5μS)即可。

⑤ 加入输入信号

为输入信号a和b设定测试电平的方法及相关操作如教材图2.1.3所示,利用必要的功能键为a和b加上适当的电平,以便仿真后能测试so和co输出信号。

⑥ 波形文件存盘

以“h_adder.scf”(注意后缀是.scf)为文件名,存在自己建立的工程目录d:myedamygdf内。在波形文件存盘时,系统将本设计电路的波形文件名自动设置为“h_adder.scf”,因此可以直接单击确定按钮。

⑦ 进行仿真

4.6 1位全加器的实现过程

1位全加器可以用两个半加器及一个或门连接而成。其原理图如图2所示。 在Quartus7.2图形编辑方式下,在用户目录中找到自己设计的半加器元件h_adder,并把它调入原理图编辑框中(调入两个),另外从d:maxplus2max2libprim元件库中调出一个两输入端的或门,并加入相应的输入和输出元件,按照图1所示电路连线,得到1位全加器电路的设计结果。电路中的a和b是两个1位二进制加数输入,cin是低位来的进位输入,sum是和输出,cout是向高位进位输出。

图2 1位全加器原理图

按以上步骤进行仿真,仿真图如下:

1位全加器仿真图

4.7 四位加法器实现过程

在一位全加器的基础上设计四位全加器,其原理图如图所示

图3 四位加法器原理图

按以上操作进行仿真,仿真图如:

五、 收获与心得体会

本次的EDA课程设计历时一星期,时间虽短,但通过一个星期的实践,使我对EDA技术有了更进一步的了解。同时,大致懂得了一个课题制作的具体流程和实施方法。另外,课程设计对QuartusⅡ软件的使用要求较高,从而使我能较为熟练的运用此软件。在设计时,采用模块化的设计思路使得问题变的简单明了,大大缩短了时间,降低了发生错误的机侓,也便于修改和更新。

课程设计中,需要找很多资料,在当今的信息化环境中,虽然资料很多,但需要仔细斟酌才能找到所要的。这次的课程设计很好的锻炼了这种能力。此外,与同学和老师的交流必不可少,我从中也学到了不少东西。

课程设计是一次很好的锻炼机会,我从中学的很多知识对将来的学习和工作都有很大的帮助,十分感谢学校能提供这样一个机会。

第7篇:EDA课程设计--课题选择

EDA课程设计

Electronics Design Automation

课程编号:04××2×××

时:1周

学分:1 课程性质:必修

选课对象:自动化系,电气工程系,生物医学工程系

内容概要:利用EDA软件和下载工具实现电子技术综合问题的设计。

建议选用教材:《电子技术实验指导书》李国丽、朱维勇主编,中国科技大学出版社 主要参考书:《电子技术基础 模拟部分》康华光主编,高教出版社

《数字电子技术基础》阎石主编,高教出版社

《EDA课程设计》教学大纲

学时:1周 学分:1

教学大纲说明

一、课程的目的与任务

《EDA课程设计》(注:EDA即电子设计自动化,Electronics Design Automation)是继《模拟电子技术基础》、《数字电子技术基础》、《电子技术基础实验》课程后,电气类、自控类和电子类等专业学生在电子技术实验技能方面综合性质的实验训练课程,是电子技术基础的一个部分,其目的和任务是通过一周的时间,让学生掌握EDA的基本方法,熟悉一种EDA软件(MAXPLUS2),并能利用EDA软件设计一个电子技术综合问题,并在实验板上成功下载,为以后进行工程实际问题的研究打下设计基础。

二、课程的基本要求

1、 通过课程设计使学生能熟练掌握一种EDA软件(MAXPLUS2)的使用方法,能熟练进行设计输入、编译、管脚分配、下载等过程。

2、 通过课程设计使学生能利用EDA软件(MAXPLUS2)进行至少一个电子技术综合问题的设计(内容可由老师指定或自由选择),设计输入可采用图形输入法或AHDL硬件描述语言输入法。

3、 通过课程设计使学生初步具有分析、寻找和排除电子电路中常见故障的能力。

4、 通过课程设计使学生能独立写出严谨的、有理论根据的、实事求是的、文理通顺的字迹端正的课程设计报告。

5、 考查形式为:结合课程设计中的能力表现和设计报告,综合评分。

三、与其他课程的联系与分工

《EDA课程设计》中应用了《模拟电子技术基础》、《数字电子技术基础》中的基础知识和基本理论,并且利用了《电子技术基础实验》中的基本实验方法,在时间上的安排上应该在这些课程之后。

《EDA课程设计》的后续课程是《微机原理》等其它专业课程,《EDA课程设计》中对学生综合设计能力的培养将为这些后续课程的学习打下良好基础。

四、主要设备及器材配置

计算机、EDA软件(MAXPLUS2)、下载实验箱。

五、本课程的性质及适应对象

自动化、电气工程、生物医学工程专业必修

教学大纲内容

设计一 数字式竞赛抢答器

1、设计一个可容纳6组(或4组)参赛的数字式抢答器,每组设一个按钮,供抢答使用。

2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。

3、设置一个主持人“复位”按钮。

4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,扬声器发出2~3秒的音响。

5、设置一个计分电路,每组开始预置100分,由主持人记分,答对一次加10分,答错一次减10分。 教学提示:

1、 此设计问题的关键是准确判断出第一抢答者并将其锁存,实现的方法可使用触发器或锁存器,在得到第一信号后将输入封锁,使其它组的抢答信号无效。

2、 形成第一抢答信号后,用编码、译码及数码显示电路显示第一抢答者的组别,用第一抢答信号推动扬声器发出音响。

3、 计分电路采用十进制加/减计数器、数码管显示,由于每次都是加/减10分,所以个位始终为零,只要十位、百位进行加/减运算即可。

设计二 数字钟

1、 设计一个能显示1/10秒、秒、分、时的12小时数字钟。

2、 熟练掌握各种计数器的使用。

3、 能用计数器构成十进制、六十进制、十二进制等所需进制的计数器。

4、 能用低位的进位输出构成高位的计数脉冲。

教学提示:

1、时钟源使用频率为0.1Hz的连续脉冲。

2、设置两个按钮,一个供“开始”及“停止”用,一个供系统“复位”用。

3、时钟显示使用数码管显示。

4、“时显示”部分应注意12点后显示1点。

5、注意各部分的关系,由低位到高位逐级设计、调试。

设计三 数字频率计

1、 设计一个能测量方波信号的频率的频率计。

2、 测量的频率范围是0999999Hz。

3、 结果用十进制数显示。

教学提示:

1、 脉冲信号的频率就是在单位时间内所产生的脉冲个数,其表达式为,f为被测信号的频率,N为计数器所累计的脉冲个数,T为产生N个脉冲所需的时间。所以,在1秒时间内计数器所记录的结果,就是被测信号的频率。

2、 被测频率信号取自实验箱晶体振荡器输出信号,加到主控门的输入端。

3、 再取晶体振荡器的另一标准频率信号,经分频后产生各种时基脉冲:1ms,10ms,0.1s,1s等,时基信号的选择可以控制,即量程可以改变。

4、 时基信号经控制电路产生闸门信号至主控门,只有在闸门信号采样期间内(时基信号的一个周期),输入信号才通过主控门。

5、 f=N/T,改变时基信号的周期T,即可得到不同的测频范围。

6、 当主控门关闭时,计数器停止计数,显示器显示记录结果,此时控制电路输出一个置零信号,将计数器和所有触发器复位,为新的一次采样做好准备。

7、 改变量程时,小数点能自动移位。 设计四 拔河游戏机

1、 设计一个能进行拔河游戏的电路。

2、 电路使用15个(或9个)发光二极管,开机后只有中间一个发亮,此即拔河的中心点。

3、 游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。

4、 亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。

5、 用数码管显示获胜者的盘数。

教学提示:

1、 按钮信号即输入的脉冲信号,每按一次按钮都应能进行有效的计数。

2、 用可逆计数器的加、减计数输入端分别接受两路脉冲信号,可逆计数器原始输出状态为0000,经译码器输出,使中间一只二极管发亮。

3、 当计数器进行加法计数时,亮点向右移;进行减法计数时,亮点向左移。

4、 由一个控制电路指示谁胜谁负,当亮点移到任一方终端时,由控制电路产生一个信号,使计数器停止计数。

5、 将双方终端二极管“点亮”信号分别接两个计数器的“使能”端,当一方取胜时,相应的计数器进行一次计数,这样得到双方取胜次数的显示。

6、 设置一个“复位”按钮,使亮点回到中心,取胜计数器也要设置一个“复位”按钮,使之能清零。

设计五 乒乓球比赛游戏机

1、 设计一个由甲、乙双方参赛,有裁判的3人乒乓球游戏机。

2、 用8个(或更多个)LED排成一条直线,以中点为界,两边各代表参赛双方的位置,其中一只点亮的LED指示球的当前位置,点亮的LED依此从左到右,或从右到左,其移动的速度应能调节。

3、 当“球”(点亮的那只LED)运动到某方的最后一位时,参赛者应能果断地按下位于自己一方的按钮开关,即表示启动球拍击球。若击中,则球向相反方向移动;若未击中,则对方得1分。

4、 一方得分时,电路自动响铃3秒,这期间发球无效,等铃声停止后方能继续比赛。

5、 设置自动记分电路,甲、乙双方各用2位数码管进行记分显示,每计满21分为1局。

6、 甲、乙双方各设一个发光二极管,表示拥有发球权,每隔5次自动交换发球权,拥有发球权的一方发球才有效。

教学提示:

1、 用双向移位寄存器的输出端控制LED显示来模拟乒乓球运动的轨迹,先点亮位于某一方的第1个LED,由击球者通过按钮输入开关信号,实现移位方向的控制。

2、 也可用计数译码方式实现乒乓球运动轨迹的模拟,如利用加/减计数器的2个时钟信号实现甲、乙双方的击球,由表示球拍的按钮产生计数时钟,计数器的输出状态经译码驱动LED发亮。

3、 任何时刻都保持一个LED发亮,若发亮的LED运动到对方的终点,但对方未能及时输入信号使其向相反方向移动,即失去1分。

4、 控制电路决定整个系统的协调动作,必须严格掌握各信号之间的关系。

设计六 交通信号等控制器

1、 设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。

2、 红、绿、黄发光二极管作信号灯,用传感器或逻辑开关作检测车辆是否到来的信号。

3、 主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。

4、 主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、25秒计时、显示电路。

5、 在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡,使行驶中的车辆有时间停到禁行线外,设立5秒计时、显示电路。

教学提示:

1、 主、支干道用传感器检测车辆到来情况,实验电路用逻辑开关代替。

2、 选择1HZ时钟脉冲作为系统时钟。

3、 45秒、25秒、5秒定时信号可用顺计时,也可用倒计时,计时起始信号由主控电路给出,每当计满所需时间,即向主控电路输出“时间到”信号,并使计数器清零,由主控电路启、闭三色信号灯或启动另一计时电路。

4、 主控电路是核心,这是一个时序电路,其输入信号为:车辆检测信号(A,B,;45秒、25秒、5秒定时信号(C,D,E),其输出状态控制相应的三色灯。主控电路可以由两个JK触发器和逻辑门构成,其输出经译码后,控制主干道三色灯R、G、Y和支干道三色灯r、g、y。

设计七 电子密码锁

1、 设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁;

2、 在锁的控制电路中储存一个可以修改的4位代码,当开锁按钮开关(可设置成6位至8位,其中实际有效为4位,其余为虚设)的输入代码等于储存代码时,开锁;

3、 从第一个按钮触动后的5秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20秒的报警信号。 教学提示:

1、 该题的主要任务是产生一个开锁信号,而开锁信号的形成条件是,输入代码和已设密码相同。实现这种功能的电路构思有多种,例如,用两片8位锁存器,一片存入密码,另一片输入开锁的代码,通过比较的方式,若两者相等,则形成开锁信号。

2、 在产生开锁信号后,要求输出声、光信号,声音的产生由开锁信号触动扬声器工作,光信号由开锁信号点亮LED指示灯;

3、 用按钮开关的第一个动作信号触发一个5秒定时器,若5秒内无开锁信号产生,让扬声器发出特殊音响,以示警告,并输出一个信号推动LED不断闪烁。

设计八 彩灯控制器

1、 设计一个彩灯控制器,使彩灯(LED管)能连续发出四种以上不同的显示形式;

2、随着彩灯显示图案的变化,发出不同的音响声。

教学提示:

1、彩灯显示的不同形式可由不同进制计数器驱动LED显示完成;

2、 音响由选择不同频率CP脉冲驱动扬声器形成。

设计九 脉冲按键电话显示器

1、 设计一个具有8位显示的电话按键显示器;

2、 能准确地反映按键数字;

3、 显示器显示从低位向高位前移,逐位显示按键数字,最低位为当前输入位;

4、 *设置一个“重拨”键,按下此键,能显示最后一次输入的电话号码;

5、 *挂机2秒后或按熄灭按键,熄灭显示器显示。 教学提示:

1、 利用中规模计数器的予置数功能可以实现不同的按键对应不同的数字;

2、 设置一个计数器记录按键次数,从而实现数字显示的移位。

设计十 简易电子琴

1、 设计一个简易电子琴;

2、 利用实验箱的脉冲源产生1,2,3,。。。共7个或14个音阶信号;

3、 用指示灯显示节拍;

4、 *能产生颤音效果。

教学提示:

1、各音阶信号由脉冲源经分频得到。

设计十一 出租车自动计费器

1、 设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示总金额,最大值为99。99元;

2、 行车里程单价1元/公里,等候时间单价0。5元/10分钟,起价3元(3公里起价)均能通过人工输入。

3、 行车里程的计费电路将汽车行驶的里程数转换成与之成正比的脉冲数,然后由计数译码电路转换成收费金额,实验中以一个脉冲模拟汽车前进十米,则每100个脉冲表示1公里,然后用BCD码比例乘法器将里程脉冲乘以每公里单价的比例系数,比例系数可由开关预置。例如单价是1。0元/公里,则脉冲当量为0。01元/脉冲。

4、 用LED显示行驶公里数,两个数码管显示收费金额。

教学提示:

1、 等候时间计费需将等候时间转换成脉冲个数,用每个脉冲表示的金额与脉冲数相乘即得计费数,例如100个脉冲表示10分钟,而10分钟收费0。5元,则脉冲当量为0。05元/脉冲,如果将脉冲当量设置成与行车里程计费相同(0。01元/脉冲),则10分钟内的脉冲数应为500个。

2、 用LED显示等候时间,两个数码管表示等候时间收费金额。

3、 用加法器将几项收费相加,P=P1+P2+P3,

4、 P1为起价,P2为行车里程计费,P3为等候时间计费,用两个数码管表示结果。

设计十二 洗衣机控制器

1、 设计一个电子定时器,控制洗衣机作如下运转:定时启动正转20秒暂停10秒反转20秒暂停10秒定时未到回到“正转20秒暂停10秒……”,定时到则停止;

2、 若定时到,则停机发出音响信号;

3、 用两个数码管显示洗涤的预置时间(分钟数),按倒计时方式对洗涤过程作计时显示,直到时间到停机;洗涤过程由“开始”信号开始;

4、三只LED灯表示“正转”、“反转”、“暂停”三个状态。 教学提示:

1、 设计20秒、10秒定时电路。

2、 电路输出为“正转”、“反转”、“暂停”三个状态。

3、 按照设计要求,用定时器的“时间到”信号启动相应的下一个定时器工作,直到整个过程结束。

建议选用教材和参考书目

选用教材: 《电子技术实验指导书》,李国丽,朱维勇主编。中国科技大学出版社 主要参考书:《电子技术基础

模拟部分》(第四版),康华光主编,高教出版社

《数字电子技术基础》(第四版),阎石主编,高教出版社

上一篇:水资源管理结论和启示下一篇:司炉工工作心得