eda技术论文

2022-03-23 版权声明 我要投稿

小编精心整理了《eda技术论文(精选5篇)》相关资料,欢迎阅读!【摘要】伴随着信息化社会的高速发展,EDA技术逐步发展起来,并越来越广泛地被应用到电子设计的各个领域中去,与传统的电子方法相比较,电子设计自动化具有更加强大优势与特点。

第一篇:eda技术论文

EDA技术的特点与应用研究

摘 要:文章分析了EDA技术的发展、特点,以及EDA技术属于一种开发方式,紧紧围绕可编程器件能够使设计任务简化,且明确了电子设计行业中EDA技术的功能,并且对传统的电子设计方式与EDA技术设计方式进行了比较,最后归纳了EDA技术的应用和发展趋势。

关键词:EDA技术;特点;应用;发展

0 引 言

Electronic Design Automation即EDA技术,其是指电子设计自动化。EDA技术作为一种新型技术,具备较快的发展速度,其设计载体是可编程大规模逻辑器件,其主导的描述系统逻辑的语言是硬件表述语言,其设计工具是可编程大规模逻辑器件的实验开发系统和软件系统、计算机,结合相关的开发软件,自动以软件的形式在硬件系统中设计电子系统的一种新型技术。EDA技术能够进行逻辑优化、逻辑分割、逻辑化简、逻辑综合、逻辑编译、逻辑仿真、逻辑布局布线等,进行相应目标芯片的编程下载、逻辑映射、适配编译等工作,从而使专用集成芯片或者是集成电子系统最终形成。

1 EDA技术的概念、发展历程、特点

EDA技术的发展基于电子系统设计、集成电路、计算机,截止目前已历经几十年的过程,EDA技术的发展能够划分为三个时期,上个世纪70年代是计算机辅助设计,即CAD时期,该时期的特点主要是通过计算机辅助PCB布线、编辑电路原理图,从而让设计人员由以往高度重复的绘图工作中获得解放;上个世纪80年代是计算机辅助工程设计时期,即所谓的CAED时期,该时期的特点主要是紧紧围绕自动布局布线、定时分析、模拟逻辑、仿真故障等,主要对设计电路的功能检测问题进行处理,确保设计可以在制作产品前其性能和功能进行预知。上个世纪90年代是电子设计自动化时期,即EDA技术时期,这一时期的特点主要体现为综合技术、仿真系统、高级描述语言,融入的设计思维是“自顶向下”,通过EDA工具进行设计之前的高层次设计。作为电子技术设计的自动化的EDA可以引导电子系统或者是电子电路的软件工具,这种工具能够在设计电子产品的一系列时期体现其功能,从而可能设计出尤为复杂的系统或者是电路。在设计原理图的时期,能够结合EDA当中的仿真工具对设计正确与否进行论证。在设计芯片的时期,能够结合EDA当中的芯片设计工具对芯片版图进行设计制作。在设计电路板时期,能够结合EDA当中的设计电路板的工具对多层电路板进行设计。尤其是在出现硬件描述语言的EDA工具之后,便可以自动化地设计复杂数字系统,仅仅需要结合硬件描述语言准确地描述数字系统的行为,那么就能够设计和制作这种数字系统的芯片。

EDA体现了当前最新的电子设计技术的发展趋势,通过EDA工具,电子设计人员能够在设计电子系统中基于协议、算法、概念等,能够结合计算机进行很多的工作,且能够在计算机上自动进行电子产品的性能分析和电路设计,包括PCB版图或者是IC版图的设计。设计人员应用新型“自顶向下”的高层次设计,这一设计方式先立足于系统设计,在顶层划分功能方框图并设计结构。在方框图中完成仿真和纠错,且通过硬件描述语言表达高层次系统行为;再通过优化工具生成实际门电路网络表,专门的集成电路或者是印刷电路板是其相对的物理实现级。设计人员只能够通过软件,也就是通过EDA软件与硬件描述语言实现系统硬件的功能。在高层次上进行仿真设计以及调试,不但能够尽早明确设计结构的错误,而且提高了一次设计成功系数,降低了仿真逻辑功能工作量。

HDL即硬件描述语言属于一种高级的计算机语言,能够设计电子系统的硬件,其结合软件设计手段对电路连接形式、电路结构、电子系统逻辑功能进行描述。作为EDA技术关键性组成部分的硬件描述语言属于设计开发EDA中非常重要的一种软件工具。而作为主导的电子设计硬件描述语言的VHDL,即超高速集成电路硬件描述语言,其建模能力与电路描述非常强,可以由很多层次描述数字系统,进而使设计硬件的任务显著简化,实现了设计稳定性的提升,在设计电子系统中应用VHDL的显著优势使设计人员能够专注实现其功能,而不需要把太多的精力与时间用在不影响工艺跟功能的因素上。硬件描述语言能够由三个层次描述电路,其层次由低至高,各自是电路级、几级、行为级。通过VHDL设计电子系统的好处在于:一是VHDL的寬范围描述能力确保其可以变成中心的高层次设计,调整设计人员的工作重点为实现和调试系统的功能,仅仅需要在物理实现中应用较少的精力;二是VHDL能够以清晰、简洁的代码来设计复杂控制逻辑,方便灵活,方便沟通、重用、保存设计结果;三是VHDL的设计不需要相应的器件,便于转换工艺;四是VHDL属于标准语言,其被很多EDA企业支持,为此,具备良好的移植性。

将传统的电子设计方式与EDA技术设计做对比,传统的数字系统设计仅仅设计于电路板上,其方式像是搭积木,这样难以设计和调试复杂电路;倘若某个环节不正确,非常不便于查询和整修;针对集成电路设计,其设计实现过程直接联系实际的生产工艺,不具备良好的移植性;只有在生产芯片或者是设计样机之后才可以实现,进而导致产品的开发周期过长。EDA技术跟传统的电子设计方式存在显著的差异性,其结合可编程器件和设计芯片使系统的功能实现。在输入设计与引入库中应用硬件描述语言,设计人员对器件的管脚和内部逻辑予以定义,在设计芯片中完成固有的绝大部分设计电路板的工作。因为定义管脚较为灵活,设计电路板和电路图的难度、工作量显著减小,所以工作效率与设计的灵活性提高。并且,芯片的数量有所降低、系统的体积减小、能耗减少、系统的稳定性与性能提升,从而能够全面地应用于计算机进行自动化设计、调试、仿真。

2 EDA技术的应用以及发展趋势

EDA技术的发展快速,其逐步在科研、教育、设计和制造产品中体现着非常大的功能。

在科研上,EDA技术重点结合电路仿真工具实现电路的仿真和设计;结合虚拟仪器调试产品;在仪器设备中应用开发的CPLD/FPGA器件,在前期开发大批量产品芯片或者是小批量产品芯片中能够直接应用CPLD/FPGA。对于传统机电的技术改进和换代升级来讲,应用CPLD/FPGA能够使传统产品的性能提升、减小体积、增加产品附加值和技术含量。因此,广大电子工程师以及高校相关专业学生需要熟悉该技术,这不但是设计效率提升的要求,也是社会发展的需要,只有熟悉了EDA技术,才可以更好地参与到全球电子工业市场竞争中,才可以获得发展和生存。基于科学技术的不断发展,电子产品更新速度与日俱增,在现代电子设计中,EDA技术是开发与研制电子产品的根本动力。因此,EDA技术的发展属于电子产业界以及电子设计行业的一个非常大的技术变革。与此同时,其对电子信息类学科科研和教育工作的要求也越来越高。

在教学上,很多高校的电子信息类专业都设置了EDA学科,其关键在于使学生把握EDA技术的涵义、基础理论等,明确应用EDA工具模拟仿真电子电路学科实验以及以VHDL表达系统逻辑的方式,像是能够应用CPLD/FPGA器件进行竞赛设计、课程设计、实验教学、毕业设计等,确保电子系统的设计或者是实验装置具备便于修改、快速、稳定、经济、易实现的特点。并且,其实现了学生计算机应用技能、创新能力、动手操作能力的显著提升。

在设计和制造产品上,由性能较高的数字信号处理器和微处理器一直到电子玩具电路、音响、彩电等,EDA技术不仅仅适用于之前的调试产品、计算机模拟仿真,还能够焊接和制作电路板、生产和研制电子装置、制作PCB。从某种意义上来讲,电子工业行业中离不开EDA技术的支持。

3 结 论

总而言之,在电子设计行业中,EDA技术属于一个伟大的变革,当今恰恰处在迅速发展的时期,每一年都会出现一些新型的EDA工具,而国内应用EDA技术的能力还远远不如一些发达国家。为此,无论是作为高校电子类的学生、還是电子硬件工程师,都务必学习和掌握EDA技术,从而能够开发CPLD/FPGA。只有如此,才可以在未来的社会发展和竞争中占据优势地位。

参考文献:

[1] 邱宇,王世元.区别于本科教学模式的高职院校EDA课程教学的改革与实践 [J].西南农业大学学报(社会科学版),2012,10(5):193-195.

[2] 杜卫平.EDA技术在电子设计中的应用 [J].现代工业经济和信息化,2017,7(14):19-20.

[3] 杨焯群.EDA技术发展综述 [J].电子制作,2018(1):90-91.

[4] 刘义杰,徐军,金亚玲.浅谈《EDA技术》课程教学改革 [J].成功(教育),2011(16):11.

作者简介:李艳(1981.10-),女,河南商丘人,讲师,本科。主要研究:电学、物联网。

作者:李艳

第二篇:EDA技术发展与应用

摘要:EDA技术是电子设计领域的一场革命,目前正处于高速发展阶段。本文介绍了EDA技术的发展,基本特征及其开发设计流程。

关键词:EDA技术 FPGA/CPLD VHDL

随着计算机技术的出现及快速的更新与发展,以此为基础并且在其强劲的推动下电子技术得到了远超以往的飞速发展。如今,现代电子产品几乎渗透入了人类生产生活中的各个领域。由于其的高性能,大复杂程度,价格的相对低廉及较快的更新换代速度,使得人类社会达到了一个高度发达的信息化社会阶段,进一步的促进了社会生产力的发展和社会信息化程度的提高。

作为现代电子设计技术的核心,EDA(Electronic Design Automation)技术是以硬件描述语言HDL(Hardware Description Language)为系统逻辑描述的主要表达方式,以可编程器件PLD(Programmable Logic Device)为实验载体,依赖功能强大的计算机,在EDA工具软件平台上,自动的完成逻辑编译,逻辑化简,逻辑分割,逻辑综合,结构综合(布局布线)以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。EDA技术的应用使得设计者的工作仅限于利用硬件描述语言和EDA软件平台来完成对系统硬件功能的实现,极大的提高了设计效率,缩短了设计周期,节省了设计成本。

一、EDA技术的发展

回顾自20实际90年代初到如今近30年电子设计技术的发展历程,EDA工具的发展经历大致可划分为三个阶段:计算机辅助设计(CAD),计算机辅助工程(CAE)和电子设计自动化(EDA)。

1.计算机辅助设计CAD(Computer Aided Design)阶段。

20世纪70年代是EDA技术发展的初期阶段,人们开始使用计算机辅助进行IC版图编辑和PCB布局布线,使设计者从繁琐,重负的计算和绘图中解脱出来,由于PCB布局布线工具受到计算机工作平台的制约,其支持的设计工作有限且性能较差。

2.计算机辅助工程设计CAE(Computer Aided Engineering)阶段。

20世纪80年代为CAE阶段,此时EDA工具主要以逻辑模拟,定时分析,故障仿真,自动布局和布线为核心,如果说CAD工具代替了设计工作中绘图的重复劳动,则CAE工具则代替了设计师的部分工作。然而,大部分从原理图出发的EDA工具仍不能满足复杂电子系统的设计要求。

3.电子设计自动化EDA(Electronic Design Automation)阶段。

20世界90年代,设计工程师逐步从使用硬件转向设计硬件,从单个电子产品开发转向系统级电子产品开发,即片上系统集成。这时的EDA工具不仅具有电子系统设计的能力,而且能提供独立于工艺和厂家的系统级设计能力,具有高级抽象的设计构思手段。可以说,20世纪90年代EDA技术的发展是电子电路设计的革命。

二、EDA技术的特征

EDA技术代表了当今电子设计的最新发展方向,其基本特征是设计人员按照“自顶向下”的设计方法,对整个系统进行方案设计与功能划分,系统的关键电路采用一片或几片专用集成电路(ASIC)实现。然后采用硬件描述语言(HDL)完成系统行为级设计,最后通过综合器及适配器生成最终的目标期间,这种设计方法被称为高层次的电子设计方法。下面介绍与EDA基本特征有关的几个概念。

1.“自顶向下”的設计方法

过去在较复杂的电子线路设计中,其基本思想是利用“自底向上”方法,用标准集成电路构造出一个新的系统,如同一砖一瓦构造金字塔,不仅效率低,成本高,而且容易出错。

“自顶向下”的设计方法则是从系统整体进行设计,从顶层进行功能方框图的划分和结构设计,在方框图一级进行仿真,纠错,并用硬件描述语言对高层次的系统行为进行描述,在系统一级经行验证。然后用综合优化工具生成具体门电路的网表。其对应的物理实现级可以是印刷电路板或专用集成电路.由于设计的主要仿真和调试过程是在高层次上完成的。这不仅有利于早期发现结构设计上的错误。避免设计工作的浪费。而且也减少了逻辑功能仿真的工作量,提高了设计的一次成功率。

2.ASIC设计

现代电子产品的复杂度日益加深,一个电子系统可能由数万中小规模集成电路构成,这就带来了体积大、功耗大、可靠性差的问题,解决这一问题的有效方法就是采用ASIC(Application Specific Integrated Circuits)芯片进行设计。AS1C按照设计方法的不同可分为:全定制ASIC,半定制ASIC。可编程ASIC(@ ~可编程逻辑器件)。

设计全定制AS1C芯片时,设计师要定义芯片上所有晶体管的几何图形和工艺规则,最后将设计结果交由IC厂家掩膜制造完成。优点是:芯片可以获得最优的性能,即面积利用率高、速度快、功耗低。缺点是:开发周期长,费用高,只适合大批量产品开发。

半定制ASIC芯片的版图设计方法有所不同,分为门阵列设计法和标准单元设计法,这两种方法都是约束性的设计方法,其主要目的就是简化设计,以牺牲芯片性能为代价来缩短开发时间。

3.硬件描述语言

硬件描述语言HDL(Hardware Description Language)是一种用于设计硬件电子系统的计算机语言,它用软件编程的方式来描述电子系统的逻辑功能、电路结构和连接形式,与传统的门级描述方式相比,它更适合大规模系统的没计。设计人员可以利用HDL语言来描述自己的设计思想,然后利用EDA工具进行仿真,综合到门级网表,最后由ASIC和FPGA实现其功能。

硬件描述语言是EDA技术的中的重要组成部分,发展至今已有几十年的历史,并且已经成功的应用到系统的仿真,验证和综合等方面。目前世界上已有上百种硬件描述语言,常用的硬件描述语言有AHDL,VHDL和Verilog HDL,其中VHDL和Verilog HDL是当前最流行并且已经成为IEEE标准的硬件描述语言。这两种硬件描述语言的同特点是可以形式化地抽象表示电路的结构与行为,支持逻辑设计中层次及领域的描述,可借用高级语言的精巧结构来简化电路的描述,具有电路仿真与验证机制以保证设计的正确性,支持电路描述由高层到底层的综合转换,硬件描述与实现工艺无关,便于文档管理,易于理解和设计重用。同时VHDL与Verilog HDL又各自具有独自的特点。Verilog HDL非常容易学习理解,一般可在2~3个月掌握这种设计技术,较适合系统级,算法级,寄存器传输级,门级及开关级电路设计。简言之,Verilog HDL对电路底层细节的描述支持较好,较易控制综合后的电路结果。而相对的,VHDL虽然较难掌握,但其系统级硬件描述能力强,而且用户可自定义数据类型,设计灵活。缺点则是对电路细节的描述支持稍差。

4. 系统框架结构。

EDA系统框架结构(Framework)是一套配置和使用EDA软件包的规范,目前主要的EDA系统都建立了框架结构,如Cadence公司的Design Framework,Mentor公司的Falcon Framework等,这些框架结构都遵守国际CFI组织(CAD Framework Initiative)制定的统一技术标准。Framework能将来自不同EDA厂商的工具软件进行优化组合,集成在一个易于管理的统一的环境之下。而且还支持任务之间,设计师之间在整个产品开发过程中实现信息的传输与共享,这是并行工程和Top—Down设计方法的实现基础。

三、基于EDA软件的FPGA/CPLD开发流程

(1)设计输入(原理图/HDL文本编辑):利用EDA工具的文本或图形编辑器将设计者的设计意图用文本(HDL)或图形方式(原理图或状态图)表达出来。这是在EDA软件上对FPGA/CPLD开发的最初步骤(2)编译:完成设计描述后便可通过编译器进行排错,编译,变成特定的文本格式。为下一步的综合做准备。(3)综合:一般来说,综合是仅对HDL而言的。这是将软件设计与硬件的可实现性挂钩,将软件转化为硬件电路的关键步骤。综合后HDL综合器可生成ENIF、XNF或VHDL等标准格式的网表文件。其从门级开始描述了最基本的门电路结构。(4)行为仿真和功能仿真:利用产生的网表文件进行功能仿真。以便了解设计描述与设计意图的一致性(可省略此步骤)。(5)适配:适配器也称结构综合器,其功能是将综合后的网表文件针对某一具体的目标器件进行逻辑映射操作。其中包括底层器件配置,逻辑分割,逻辑优化,布局布线。适配完成后,EDA软件将产生针对此项设计的适配报告和JED下载文件等多个结果。适配报告指明了芯片内资源的分配与利用,引脚锁定,设计的布尔方程描述情况。(6)功能仿真和时序仿真:在编程下载前必须利用EDA工具对适配生成的结果进行模拟测试。该仿真接近真实器件的运行状态,仿真过程中已考虑到器件的硬件特性,因此仿真精度要高得多。仿真是在EDA设计过程中的重要步骤。(7)编程下载:若以上的所有过程都没有发现问题,便可以将适配器产生的下载文件通过编程器或编程电缆载入目标芯片FPGA或CPLD中。(8)硬件仿真与测试:最后是将含有载入了设计的FPGA或CPLD的硬件系统进行统一测试,最终验证设计项目在目标系统上的实际工作情况,以排除错误,改进设计。

四、结束语

EDA技术是电子设计领域的一场革命。目前正處于高速发展阶段,每年都会有新的EDA工具问世。虽然EDA作为一套完整的电子技术设计系统较为复杂,但作为工具却十分方便于用户的使用。EDA工具大都采用系统级目标设计方法,具有良好的设计界面。可视化操作方法及系统框架结构使得设计者可以把精力主要放在概念设计等顶层设计上,而把大量的具体的层次化设计工作留给EDA系统去做。而我国EDA技术的应用水平长期落后于发达国家,因此广大电子工程人员应尽早掌握这一先进技术。这不仅是提高设计效率的需要。更是我国电子工业在世界市场上生存,竞争与发展的需要。

参考文献:

[1]江国强.EDA技术与应用(第三版)[M].电子工业出版社,2010.

[2]赵全利,秦春斌.EDA技术及应用教程[M].机械工业出版社,2009.

[3]潘松,黄继业.EDA技术实用教程(第三版)[M].科学出版社,2006

作者:乔序

第三篇:浅析电子设计中EDA技术的应用

【摘要】伴随着信息化社会的高速发展,EDA技术逐步发展起来,并越来越广泛地被应用到电子设计的各个领域中去,与传统的电子方法相比较,电子设计自动化具有更加强大优势与特点。

【关键词】电子设计;EDA技术;技术应用

引言

电子技术在信息化时代得到了高速发展,各类电子产品成为了人们生活中不可或缺的一部分,随着电子产品附带的功能逐渐增多以及性能方面的拓展,人们对电子技术提出了更高的要求。集成电路制造技术和电子设计是推动电子产品发展的主要动力,其中电子设计更是以前沿尖端的EDA技术为核心,在电子技术不断取得突破的今天,CPLD、FPGA可编程逻辑器件也越来越多的应用于电子设计,为电子设计带来了广阔发展空间和适应各项需求的灵活性。

1.EDA技术的特点与应用

(1)FPGA/CPLD的编程方式较易实现无线编程、红外编程、超声编程,或通过电话线远程在线编程,并且具有良好的加密功能。

(2)不存在MCU所特有的复位不可靠和PC跑飞等固有缺陷,还可将整个系统下载于同一芯片中,缩小了体积,易于管理与屏蔽,从而具有高可靠性。

(3)对于复杂多变的通信协议来说,利用VHDL进行FPGA编程高效、灵活,并且能够快速适应标准的升级,实际上FPGA的大容量、高速、高性能的发展趋势正是为了迎合通信领域应用的需要。

(4)器件的功能块可以同时工作,能够实现指令级、比特级、流水线级甚至是任务级的并行执行,加快了运算速度,由FPGA实现的运算系统可以达到现有通用处理器的数百甚至上千倍。将EDA技术应用于电子系统设计,能减小设备体积,降低功耗,提高电路的可靠性,减少上市时间,将设计风险降至最小,是数字系统设计的发展方向。在数字信号处理领域,传统的设计方法有2种:

a.采用DSP处理器,如TMS320系列微处理器;b.采用固定功能的DSP器件或ASIC器件。随着DSP系统复杂程度和功能要求的提高,这些DSP解决方案暴露出缺陷:DSP处理器方案成本低,但软件处理数据不可能有很强的实时性能,限制了在高速和实时系统中的应用;固定功能的DSP器件或ASIC器件可以提供很好的实时性能,但灵活性太差。相对DSP处理器,FPGA可以由设计者根据算法的内在并行结构设计合适的处理阵列,避免前者串行执行指令的低效;相对ASIC,FPGA可避免初期巨大的开发投资,并且拥有如微处理器的通用性和灵活性。加之FPGA内部大都提供了RAM、双口RAM和FIFO-RAM等存储体结构,所以FPGA可以完全取代通用DSP芯片或作为通用DSP芯片的协处理器进行工作。如果将通用DSP和FPGA融合在一起,把需要多个时钟周期的运算交给FPGA完成,DSP芯片主要完成单时钟的运算并控制FPGA的“可再配置计算”功能,可更好地把二者的优势发挥出来。

2.电子设计中EDA技术应用需注意的问题

在电子设计中应用EDA技术需要注意以下几点:

①在电子电路设计的时候,延时时间具有不确定性的特征,以及自动编译的部分电路可能会成为赘余,所以电子设计中采用EDA时,反向器的个数不易为偶数并联连接;②输入引脚要保持接地,不能处于悬空的状态,驱动的时候要保证是有源信号;③各个器件的电源要保持接地状态,需要的时候要对各个连接进行滤波和解耦处理;④设计的过程中,逻辑单元和引脚都要留出多余的部分,便于后期的扩展设计或者是设计修改;⑤需要采取一定的冷却处理,避免各个器件使用的时候过热。

3.EDA技术设计流程解析

3.1 源程序的编辑、编译及行为仿真

一项工程的设计首先需利用EDA工具的文本编辑器或图形编辑器将它用文本方式(VHDL程序方式)或图形方式(流程图方式和状态图方式)表示出来。这两种表达方式必须首先通过EDA工具进行排错编译,变成VHDL文件格式,为进一步的逻辑综合作准备。在逻辑综合以前可以先对VHDL所描述的内容进行行为仿真,即将VHDL设计源程序直接送到VHDL仿真器中仿真。

3.2 目标器件

逻辑透配就是将由综合器产生的网表文件针对某一具体的目标器件进行逻辑映射操作,其中包括底层器件配置、逻辑分割、逻辑优化、布线与操作等,配置于指定的目标器件中,产生最终的下载文件。随后,可进行时序仿真。时序仿真是将布线器/适配器所产生的VHDL网表文件送到VHDL仿真器中所进行的仿真。该仿真已将器件特性考虑进去了,因此可以得到精确的时序仿真结果。如果编译、综合、布线/适配和行为仿真、功能仿真、时序仿真等过程都没有发现问题,即满足原设计的要求,就可以将由CPLD/FPGA布线/适配器产生的配置/下载文件通过编程器或下载电缆载入目标芯片CPLD或FPGA中。

3.3 硬件仿真与测试

在电子设计当中,经常会通过FPGA来完成对电子系统设计的功能检测,检测完成之后通过VHDL进行设计,最后呈现结果。这是硬件的仿真过程。而硬件的测试过程是指针对于CPLD以及FPGA直接应用到设计的过程当中,将文件下载之后,对电子设计过程进行功能检测。在对EDA技术进行的功能及时序仿真阶段,如果在仿真过程中没有发现任何问题,就可以将生成的文件下载到目标芯片当中。在这个过程中,应当注意以下几个重要事项:

①不可以采取反相器串联法来构成“延时电路”。

②在输入引脚时不可以悬空,必须通过有源信号进行驱动,将不使用的引脚进行接地。

③器件電源和接地的地线引脚应当要可靠连接。

④为了方便EDA技术应用的扩展和设计,在对要使用的器件进行选择时,要使得逻辑单元以及引脚要有一定的数量余量。

⑤要注意把握好环境的变化,防止对器件造成过热引起故障。

4.8255A芯片设计中EDA技术的应用分析

4.1 8255A端口及构造体说明

该设计模块中PPI端口一共定义了40个引脚,定义与8255A是相同的。端口的构造体许多都是输入输出的双向引脚,其端口是相互对应的。在芯片端口的构造体内部,都是通过bus-in和bus-out总线来实现。

4.2 构造体进程说明

PPI的构造体包括5个进程,主要是读进程、写进程以及形成pa、pb、pc三态输出进程。其中pa、pb和pc进程比较简单,不需要做详细说明,在这里主要分析读、写两个进程。

(1)读进程工作就是指在片选信号和读信号都有效时,从各个端口对外部设备提供的信息数据进行读入。此外读进程对数据线总线的信息数据进行描述并且通过三态缓冲器进行实现。

(2)写进程工作就是在片选信号和写信号有效时,将总线上的数据信息写入到bus-out总线上,与此同时,将总线上的最高数据位进行寄存器保存,便于以后对使用方式的判别。因为在写进程中,VHDL语言编程方法与读进程中的十分相似,再加上源程序比较长,所以本文没有给出详细的源程序。

5.结语

可以说EDA技术的应用为电子设计行业带来了一次技术上的革新,这就要求电子设计工程师要熟练掌握好EDA技术,在提高效率的同时,开发出更多具有高性能的电子产品。使得EDA技术更好地适应社会发展,增强自身竞争实力,并推动电子系统不断向集成化、大规模化的方向快速发展。

参考文献

[1]卢紫毅,肖梓祥.对可编程ASIC发展新趋势的探讨[J].现代电子技术,2001(3):11-13.

[2]谢长焱,李义府,彭卫韶,何怡刚.电子设计自动化技术的发展与应用[J].吉首大学学报(自然科学版),2005(3):23-26.

作者:党春明

第四篇:EDA技术及其在电工教学中应用

【摘要】EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,目前已经有多种软件版本。本文对电工教学中可能会应用到的一些EDA软件进行了介绍。在实际教学中教师可根据实际需要,选用合适的模拟软件来讲授相关内容。最后给出了一些利用EDA技术来进行电工教学的实例。

【关键词】电工教学;EDA技术;应用

1.EDA技术简介

EDA技术的全称是Electronic Design Automation,即电子设计自动化。这是一类在原先的CAD技术上发展和成熟起来的计算机辅助设计系统。它将计算机技术和信息智能处理分析技术融为一体,是进行电路设计和分析的理想平台。借助这一工具,可以将相关的概念、协议和算法等在计算机上完成,并实现从设计、模拟分析计算到PCB版图的流程的自动化。按照EDA所面向的范围不同,可分为三大类的EDA设计,即:系统级的EDA设计、物理实现级的EDA设计和电路级的EDA设计。

2.EDA常用软件介绍

2.1 Multisim系列软件

Multisim是美国国家仪器公司开发的一款以Windows为基础的电路设计和模拟的仿真工具。Multisim的前身是建安大EWB公司,后该公司被美国国家仪器有限公司所收购。由于这一软件利用交互式的原理构建复杂的电路和进行仿真,尤其是融合了SPICE技术后为工程师在设计电路时无需在SPICE方面具有良好的专业基础便可进行相关的设计。这一特点特别适合与对电工方向的学生进行模拟教学。因此这一软件在国内的电工教学中有着广泛的应用,可以进行器件建模及仿真、电路的构建及仿真、系统的组成及仿真和仪表仪器原理及制造仿真等,还可以模拟一些电路元件,如二极管、三极管、功率管等。

2.2 PSPICE软件

PSPICE(Personal Simulation Program with IC Emphasis)是在Spice基础上发展起来的,主要应用在微机系列的通用电路分析当中。这是一类经典的电路设计自动化软件,能进行模拟电路分析数字电路分析和模拟数字混合电路分析。这一软件主要的构成部分包括6个大的板块:电路输入程序、激励源编辑、结果输出仿真、提取模型参数和电器元件库。除了可以进行经典的电路相关分析外,对蒙特卡洛随机模拟、电路的优化设计,以及数模仿真等方面都表现优异。

由于该款软件在模拟电路设计和电路元器件方面的模拟分析功能强大,因此在电工方向的教学中也得到了广泛的使用。

2.3 OrCAD

OrCAD也是一套EDA仿真软件系统,在电路分析和设计中也有比较广泛的应用。这套软件系统能够主要包括三个大的组成部分:内置元器件信息系统的原理图输入器、模拟和混合信号仿真和印制电路板设计。这一软件最大的优点在于具有完备的支持数据库,可自动进行集成元件的信息搜索,从已安装的元件库中自动查找和选择电器元件,并生成元件匹配信息的电子表格。在对外接口和设计管理方面也有独到的优势,可以建立用户自定义的功能模块,并可转换为菜单中的系统专用功能模块。对外接口中最强大的功能在于可提供超过40种的增行和层次格式,包括epif,vhdl,verilog spice,pads和pcad/protel/tango等。

2.4 Protel

PROTEL是PORTEL公司在上世纪80年代末推出的EDA软件,在电子行业的CAD软件中是电子设计者的首选软件,它较早就在国内开始使用,在国内的普及率也最高。这款软件一直都在不断的升级和完善,设计流程、集成化PCB设计、可编程器件(如FPGA)设计和基于处理器设计的嵌入式软件开发功能整合在一起的产品,一种同时进行PCB和FPGA设计以及嵌入式设计的解决方案,具有将设计方案从概念转变为最终成品所需的全部功能。Protel系列软件一般都包含5个模块:原理图设计、PCB设计自动布线器、原理图混合信号仿真和PLD设计。这款软件已经有多个较新的版本,如Altium Designer等。

2.5 MATLAB/Simulink

MATLAB/Simulink是一类通用的仿真平台,通过利用软件框架内所提供的工具来搭建仿真模型,这类模拟思路在科研领域有非常广泛的应用。在电工教学领域,使用的版本多为Simulink5.1,其中主要是利用SimPowerSystems这一仿真模块库。在这个模块库中包含了电路设计和仿真中涉及的基本电器元件和分析算法。利用这一工具可方便展开的对电路的设计和分析。因此在教学中,这类软件也有被应用。

3.电工教学中EDA技术的应用

上述几类常用的EDA技术各有其优势和特色。在电工教学中具体使用哪一类软件作为教学的首选,取决于各院校在课程的设置和培养目标,各自所侧重点的不同而选择不同的EDA软件。就笔者统计的资料来看,在各类设置有数字电子技术这门课程的学校为了便于教学,大多会采用操作更为简便、界面更加直观的EDA软件,比如MATLAB、Multisim系列、Altium Designer等。结合实际应用的需要,笔者推荐选用Protel系列软件作为电工电子技术课堂教学的首选。因该系列软件企业中具有非常广泛的应用,让学生在校期间就熟练掌握该软件的模拟仿真和分析的技巧对于学生毕业后的实际工作更加有利。在本节中,将简介Protel系列中Altium Designer Winter 09在电工电子技术课堂教学中的应用。

电工电子技术课程包含的内容涉及面非常广泛,其中既有实验性质的内容也有非常抽象的概念。利用Altium Designer Winter 09来作为课堂教学软件能够很直观的将一些难以理解的抽象概念感性化,对于提高学生的学习积极性和锻炼动手能力都大有裨益。本节中将举出一个应用的例子。

正弦波振荡电路的虚拟仿真:

在这一实验中,需要观察和计算的内容有基波频率,并模拟正弦波。实验电路图如图1所示。

实施步骤:1调用仿真库中Texas Instruments→TI Operational Amplifier IntLib,电路中各节点和元件的参数设置按图1所示进行;2选择分析类型,分别选取瞬时分析和傅立叶分析;3分析参数设置,瞬时分析起始时间设置为0,终止时间设置为6毫秒,步长100ns,最大步长设置为1us,傅立叶基波频率分析时谐波次数设置为6次;4模拟分析。实验结果如图2和图3所示。

在课堂教学中那个,可通过改变电路中电阻和电容数值的方法改变该电路的模拟仿真结果。教师在示范了基本的操作后,可将这些参数的更改和模拟作为课后作业,让学生课后练习熟练使用Altium Designer Winter 09之用。

4.结语

在教学中遇到的其他抽象概念教师可以自行设计实验,让一些抽象的概念能够在仿真平台上以直观的方式让学生理解,总之利用Altium Designer Winter 09是可以满足课堂教学的仿真和模拟需要的。在电工教学过程利用Altium Designer Winter 09可以让课程不那么枯燥,对以后学生步入工作岗位也是良好的过度。因此建议使用这一软件作为电工课程教学的首选平台。

参考文献

[1]郭振民.电工电子技术实验与实训教程[M].北京:中国水利水电出版社,2009.

[2]李方明.电子设计自动化技术及应用[M].北京:清华大学出版社,2006.

作者:高勇忠

第五篇:浅析电子设计中EDA技术的应用

摘 要:EDA技术是电子设计中的重要发展趋势,在现代社会科学技术飞速发展的大环境下,EDA技术为电子设计领域内带来了新的理念,并有效的提高了电子设计工作的效率和质量。本文基于EDA技术在电子设计中的实际应用情况进行简要分析,仅供相关人员参考。

关键词:EDA技术;电子设计;应用

随着现代社会数字技术和信息技术的不断发展进度,我国数字化电子信息产品也得到一定发展,电子技术自动化逐渐成为电子设计的重要基础,在推进电子设计系统稳定持续发展方面也发挥着重要的作用。因此积极探讨EDA技术在电子设计领域内的实际应用情况,对于提高电子设计水平以及促进电子系统规模化发展方面,都具有重要的意义。

1 EDA技术概述

1.1 简介

电子设计自动化技术简称EDA技术,是电子技术及仿真模拟工作的基础技术,通过可编程逻辑器件在数字系统中的有效应用,切实提高了电子设计的灵活性和可控性,并且通过可编程逻辑器件的结构及工作方式的重构,有效的提高了电子设计硬件的效率。尤其是PLD应用的进度,促使其下载方式以及集成规模等都发生了一定程度的变化,有效的推动了现代电子技术的发展,EDA技术基于EDA工具软件平台,通过对硬件描述语言进行有效应用,以实现系统逻辑描述,从而完成设计文件。EDA技术也具有自动完成逻辑翻译、逻辑分割等功能,为保障电子线路系统功能的实现提供可靠的基础。

1.2 现状

就EDA技术的发展情况来看,半导体工艺技术的进步,推进了EDA技术的发展,当前IC设计产业在高度发展的同时也面临着产品上市周期缩短、成本降低等挑战,这就需要相关设计人员积极选用高效的EDA技术,全面衡量设计过程中硬件的物理特性对设计时序及功能的潜在影响,并积极选用合适的设计术语以及抽象形式等数据来进行描述设计,以保证电子设计的合理性和可靠性。EDA技术在电子设计中对测试深验证亚微米技术的物理效应能力以及抽象设计能力都有着严格的要求。

EDA技术的发展,与计算机技术、电子系统设计等都存在着密切的联系,总的来看,EDA技术的发展主要分为三个阶段,一是计算机辅助阶段,主要是在计算机的辅助下对电路原理图进行编辑和处理,转变传统的绘图工作方式,以规范的PCB布线布局方式来提高电子设计效果。二是计算机辅助工程设计阶段,通过逻辑模拟、故障仿真以及定时分析功能,对产品的相关性能及功能进行提前预知,从而促进电路设计中各项问题的有效解决。三是电子设计自动化阶段,通过对高级描述语言及综合技术的有效应用,完成设计前期的高层次设计,促进电力设计质量和效果的提升。

2 EDA技术要点

2.1 硬件描述语言

硬件描述语言主要是通过软件百年城来对电子系统中的电路结合以及逻辑功能等进行具体描述,为保证EDA技术在电子设计中的实际应用效果,应当对硬件描述语言进行合理运用,确保其最大程度上满足的大规模的电子系统。IE EE是一种全方位的硬件描述语言,以VHDL为硬件描述语言的各种功能,包含多个设计层次,促进系统行为级、逻辑焖鸡等设计的实现,在实际应用中可以通过数据流、结构及行为等三种方式实现对整个项目的混合描述。VHDL硬件描述语言在实际应用中具有良好的移植性,便于工艺之间相互转换,促进系统功能得以实现。

2.2 ASIC技术

就EDA技术得总体情况来看,将ASIC芯片合理应用到集成电路设计中,能够有效的解决电子系统集成电路中存在的可靠性差、体积大等主要问题,促进电子设计的总体效果的提升。在现代社会科学技术不断发展的大环境下,电子产品市场的门槛不断提高,ASIC芯片也日趋复杂,主要分为全定制或半定制ASIC及可编程。为保证EDA技术在电子设计中的实际应用效果,应当尽可能保持所涉及的ASIC芯片获得最优性能,以最大程度上降低技术耗能、促进EDA技术的利用率得以有效提升。

3 EDA技术电子设计流程及应用

3.1 EDA技术电子设计流程

EDA技术是系统级的设计方法,是一种层次相对较高的电子设计方式,EDA技术以概念为驱动从而使电子设计工作者在设计时无需利用门级原理图,电子设计工作者在确定设计目标之后就可以用EDA技术来表述电路,这样不仅可以减少电路细节的约束及限制,同时也可以使设计者的设计更具创造性。EDA系统在电子设计人员将概念构思及高层次的描述输入计算机之后在系统规则下完成对电子产品的设计。

EDA技术的电子设计工作流程大致包括系统划分、代码级功能仿真、VHDL代码或图形的输入、送配前时序仿真及ASIC实现部分。首先,电子设计借助文本或者图形编辑器呈现出设计描述,也就是实现设计表述。其次,电子设计借助编译器对设计进行错排编译,即输入HDL程序。然后,设计人员需要沟通软件和硬件设计,以便实施功能仿真,即综合。最后,在确认仿真设计无误时,通过FPGA或CPLD完成逻辑映射操作,即编程下载,系统级设计完成。

3.2 EDA技术的应用

EDA技术在电子工程设计中扮演着非常重要的角色,首先,电子自动化技术可以验证电路设计方案的正确性,在进行电子设计时,待设计方案确定之后,会利用结构模拟或者系统仿真等方式来验证设计方案的正确性,在验证过程中系统中的各个环节的传递函数确定之后设计方案便可以实现。这种系统仿真技术推广到非电子专业的系统设计也会得到充分的发展。EDA技术在系统进行仿真之后的电路结构进行模拟分析,从而使得电路设计方案的可行性及正确性得到充分的保障。其次,电子自动化字数也可以对电路特性进行优化设计。电路的稳定性能受到元器件容差及工作环境温度等的影响。在传统设计过程中难以对电路的整体进行优化设计,也无法全面的分析电路稳定性的影响因素。EDA技术中的温度分析及统计分析等功能的应用则可以全面的分析电路特性影响因素,从而对电路特性进行整体的优化设计。最后,电子自动化技术也可以实现电路特性的全功能模拟测试。

3.3 以EDA技术为基础电子设计的注意事项

在利用EDA技术进行电子设计时,首先应充分的考虑电子电路延时的不确定性,以及在系统进行自动编译时会被冗余的电路简化,因此,在应用EDA技术时,应注意采用的反向器个数避为偶数,同时以并联的方式将反向器连接成延时电路。其次,在设计过程中输入的弓}脚不能处于置空状态,要保证有信号源来驱动引脚,及保持部分不用的弓}脚保持接地,同时,器件的电源应始终与地线引脚保持相连,彼此之问可以进行滤波及去祸。最后,在设计中药避免器件过于发热。

结束语

当前社会发展形势下,EDA技术逐渐成为电子设计过程中的核心技术,并发展为电子产品研制的源动力,通过EDA技术的有效应用,一定程度上提高了电子设计的整体水平,推进电子系统向集成化与规模化方向发展,为高性能电子产品的开发奠定了坚实的基础。

参考文献

[1]朱金明,黄理瑞.浅析电子设计中EDA技术的应用[J].数字技术与应用,2014(7).

[2]魏娜,王慧莹.EDA技术在电子设计中的应用[J].黑龙江科学,2014(3).

[3]周莹.探讨EDA技术在电子设计中的应用[J].电子技术与软件工程,2013(19).

作者:曾庆福

上一篇:安全管理模式论文下一篇:安全风险评估论文